许可常见问题解答

您已经购买或希望评估的所有产品都可一次性地从产品注册与下载网站上进行注册、下载和安装。以下帮助主题提供了产品注册与下载有关的最常见问题解答。

注意:请注意,ISE 许可证是免费提供的,可从许可帐户下载。该常见问题页面只讨论 Vivado 许可。

帮助安装或使用 AMD 产品:

  • WebCase — 向技术支持提交 WebCase
  • 答复记录 — 从我们的主要支持页面搜索答复数据库
  • 文档 — 软件手册、用户指南、产品说明书和应用手册。
  • 用户论坛 - 在技术支持社区搜索相关话题帖子或创建自己的帖子。

1. 使用产品下载与许可网站

可通过不同方式访问产品许可站点,具体取决于生成的许可证类型。

  • 如果您购买了产品,请遵照订购确认邮件中的指导说明。如果邮件中含有链接,即可直接进入包含产品权限的账户。
  • 如果您想评估 Vivado™ 设计套件,请下载标准版 Vivado ML
  • 如果您想评估 IP 产品,请前往 IP 中心,按照所关注 IP 产品页面上的“评估”链路操作。
  • 也可以点击这里,访问产品许可站点。
  • 您首先必须注册。如果您已建立 xilinx.com 用户账户,只需输入用户名和密码,然后验证联系信息的时效性。如果您没有 xilinx.com 用户账户,点击"Create Account"按钮。

如欲下载工具,请访问提供一般下载信息的下载。在本页面中执行如下操作:

  1. 您可以下载 TAR 格式的、特定操作系统的独特安装程序。您还可以下载针对您操作系统的自解压 Web Install 瘦客户端执行文件。 瘦客户端允许您选择想要安装的器件和组件,而且该客户端只下载安装过程中所需的文件。
    1. 可使用 Akamai 下载管理器下载 TAR 文件。获得最佳下载体验。
    2. 允许 entitlenow.com 的弹出窗口
    3. 更改安全设置,允许在同一页面上显示安全和非安全项目。
    4. 允许 Akamai 下载管理器运行 Java 进程(Mozilla 浏览器)或 ActiveX 插件 (Internet Explorer)
  2. 您需要使用第三方软件解压 TAR 格式文件。咨询您的 IT 部门以获得帮助。7-ZIP、GNU 内建工具、WinZIP 和 WinRar 是常用的解压工具,由各自的开发商(非 AMD)单独许可。AMD 特此放弃所有明示或暗示的保证,其中包括关于这些推荐软件工具的适销性、特定用途的适用性或非侵权性的保证。

  3. 点击想要下载的安装程序旁边的链接。
  4. 输入用户 ID 和密码,登陆您的 AMD 账户。如果您没有 AMD 账户,需要创建一个账号。将会出现地址验证窗口。
  5. 确定地址正确后,点击下一步。Akamai 下载管理器将启动并开始下载。

  

当您从 AMD 购买一种工具或 IP 产品时,实际上购买了可用的许可证,并获得一年的产品更新信息。AMD 工具和 IP 产品的使用许可通过产品权限进行管理。产品权限可用来确定:

  • 购买了哪种产品
  • 购买的席位数量
  • 许可证类型(浮动或节点锁定)
  • 产品认购期(在一年内提供产品更新信息)。

除了管理已购工具和 IP 的产品权限以外,您还可享有免费或评估产品的产品权限。完整许可证和免费许可证的有效期为 1 年。工具评估期为 30 天,IP 评估期为 120 天。

将产品权限激活后,网站会生成一个或多个许可证密钥。安装后利用许可证密钥启用已购买或者正在评估的工具和 IP。产品权限和许可证在 AMD 网站上的产品权限账户中进行管理。

产品权限账户具体分为公司、站点和管理员账户。所有购买项目均可通过同一产品权限账户进行管理。一个公司站点可包含由不同管理员管理的多个账户。如果一个站点有多个设计团队从事不同项目而且项目预算各不相同,那么这种方式很有用。

产品许可站点包含多种不同的用户类型,分别为客户账户管理员、最终用户和评估用户。

  • 客户账户管理员:典型的客户账户管理员是 CAD 工具经理。每个产品权限账户必须至少有一个客户账户管理员。一个客户账户管理员可以管理一个以上的产品权限账户。客户账户管理员的职责包括:
    • 为 AMD 工具及 IP 产品生成节点锁定或浮动的许可证
    • 查看产品权限账户所有其他成员的许可证生成活动
    • 为产品权限账户添加和移除用户(按需)
    • 为其他用户分配管理员和浮动许可证特权(按需)
  • 最初的客户账户管理员为产品订购过程中指定的“Ship To”联系人。该联系人将收到一封电子邮件,指导如何下载和许可所购买的每种产品。客户账户管理员务必依照电子邮件中链接的要求,以确保能够使用已购买的产品。

  • 拥有浮动许可证特权的最终用户:为产品权限账户添加拥有浮动许可证特权的最终用户,使工程师或设计团队成员能够灵活地自主管理和生成许可证密钥。最终用户可以在账户中生成针对节点锁定和浮动产品权限的许可证密钥。还允许最终用户为评估软件工具以及评估或免费 IP 产品生成许可证密钥。
    • 为 AMD 工具和 IP 产品生成节点锁定许可证或浮动许可证。
    • 查看产品权限账户所有其他成员的许可证生成活动。
    • 拥有浮动许可证特权的最终用户不能向产品权限账户添加或从产品权限账户移除其他用户。
  • 最终用户:向产品权限账户添加最终用户,使工程师或设计团队成员能够灵活地自主管理和生成许可证密钥。最终用户可以在账户中生成针对节点锁定产品权限的许可证密钥。还允许最终用户为评估软件工具以及评估或免费 IP 产品生成许可证密钥。对于最终用户存在一些限制
    • 最终用户无法生成浮动许可证密钥。只有客户账户管理员和拥有浮动许可证特权的最终用户可以生成基于服务器的(浮动)许可证密钥。浮动许可证的产品权限对于账户中的最终用户不可见。
    • 最终用户无法看到其他用户生成的许可证密钥。
    • 最终用户无法向产品权限账户添加或从产品权限账户移除其他用户。
  • 评估用户 :评估用户是指想要“test-drive” 设计工具产品的用户。评估用户可:
    • 为 AMD 设计工具生成 30 天免费的评估许可密钥。
    • 生成免费的 WebPACK 或嵌入式软件开发套件 (SDK) 许可证
    • 为评估和免费 IP 产品生成许可证密钥。

    注意 :已得到完整版 AMD 工具产品授权的用户可能会试用其它 AMD 设计工具产品版本或 IP。这些产品权限在相同的产品权限账户中提供。 所有用户类型均可以电子方式下载产品。

要为您购买的产品或评估产品生成许可证,请执行如下步骤:

  • 点击产品许可站点上的“Create License”选项卡。
  • 选择产品:通过执行以下操作,为已购买或希望评估的产品启动许可证生成流程:
    • 下拉帐户下拉列表并选择所需的帐户。如果您只有权使用评估或免费产品,该选择将不可用。
    • 选择您想要管理的产品权限账户。
    • 从产品权限表中进行产品选择。

      提供的产品权限类型包括完整(购买)、免费和评估。完整许可证和免费许可证的有效期为 1 年。设计工具评估的有效期为 30天,IP 评估为 120 天。
      注:

    • 浮动和节点锁定许可证不能合并在相同许可证内。您可通过用户界面互动避免做出无效的产品选择。通过在产品权限表中选择和取消选择产品进行试验。
    • 对设计工具而言,可用席位代表已购买的席位总数中可进行许可授权的席位数量。对 IP 而言,应依照全站协议的条款管理席位。
    • 状态为“Current”的产品即表示产品处于质保期内。"Expired"状态的产品已经过了质保期。如果席位可用,便可以为 "Current"或 "Expired" 产品权限生成许可证。
    • Vivado Design Suite:30 天评估节点锁定产品权限提供了对 Vivado 设计套件工具包中所有功能的访问。该产品权限自动包含在您的产品权限账户中。点击 "Add Evaluation and No Charge IP Cores" 按钮,可将评估和免费 IP 添加到产品权限列表。
    • IP 产品通常作为站点许可证销售,能够使管理员生成针对浮动和节点锁定许可证类型的许可证密钥。
  • 生成许可证:对应您所生成的许可证密钥文件类型(浮动或节点锁定),点击"Generate License" 或 "Activate License" 按钮。将弹出一个用来定义您的许可证文件特性的表单。填写以下许可证生成表格:
    • 选择每个产品许可证所需的位数。这仅适用于浮动许可证。所有单机版许可证都仅适用于单一席位。产品权限的可用席位数量由系统自动维持。 如果所有席位都已被激活,则会从产品权限表中移除一个产品。
    • 输入系统信息。
      • 如果您从 Vivado 许可证管理器 (VLM) 内的链接访问产品许可站点,系统信息则已预先填入选项菜单中。
      • 利用冗余服务器配置为许可证管理器提供故障转移。只要三个服务器中有两个运行,许可证管理器就能继续运行。
      • 对于基于证书的许可证 (.lic files),如果您没有预先填充的系统信息或者希望添加一个不同的主机,那么请选择选项菜单中的 "Add a host..." 选项。
      • 主机 ID 值用来单独区分设计工具或 IP 所许可的机器。您可选择主机 ID 的类型,包括:MAC 地址、硬盘序列号、连接器 ID 或 Solaris 主机 ID。并非所有操作系统都支持所有主机 ID 类型。获取主机 ID 最简单的方法是在作为许可证主机的机器上运行 XLCM。
    • 提供备注。 为许可证密钥文件添加备注,以便管理员了解如何在用户间分配工具和 IP 产品权限。
    • 点击 "Next" 按钮。出现检查许可证请求表单。
    • 检查您的选择内容。如果您对选择内容满意,点击 "Next" 按钮进行确认。

      最终用户许可证协议 (EULA): 在产品安装过程中同意 AMD 设计工具和免费 IP产品 EULA。如果您为 IP 产品授权,必须“接受”相关 IP 产品的 EULA 条款,才能生成许可证文件。许可证生成确认:完成许可证生成过程后,您将收到一条提供许可工作情况摘要的确认消息。您还会收到一封许可证生成确认电子邮件。该消息包含作为附件所生成的许可证密钥文件。将 xilinx.notifications@entitlenow.com 作为受信任发件人添加到您的地址薄中。

如果您已在 Xilinx.com 下载中心生成许可证,但未通过电子邮件接收到许可证,那么请检查您的垃圾邮件或垃圾箱。电子邮件可能被电子邮件过滤器阻挡了。您可能需要联系 IT 部门,因为电子邮件可能被阻挡在服务器层。您还需要将 xilinx.notification@entitlenow.com 添加到您的安全发件人列表中。

也可以随时从产品许可站点直接下载许可证。进入“Manage Licenses”(管理许可证)选项卡、选中生成的许可证,并点击左下角的“Download”(下载)箭头。

产品许可站点会记录您生成的许可证。点击 "Manage Licenses" 选项卡,可查看针对您的产品权限账户生成的所有许可证。您可在管理许可证页面中根据需求采取以下所述操作。

  • 检查和检索已有的许可证文件:有关产品权限账户中生成的许可证文件的信息将以 "master / detail" 视图的形式呈现。点击顶部表格主要视图中的一行,有关许可证文件的详细信息将在底部表格详细视图中显示。详细视图表包括:
    • 文件中已挽回的产品权利列表
    • 密钥文件相关的注释
    • 可下载、发送电子邮件、复制和粘贴许可证文件的内容(点击详细视图左下部分的相应图标)
    • 可删除许可证文件 -仅限基于证书的许可证 (.lic)。
    • 可查看您接受的最终用户许可证协议(如果适用)
  • 修改基于证书的许可证文件:要修改已有的许可证文件,应在主要视图中选择许可证,然后点击详细视图中的 "Modify License" 按钮。基于证书的许可证文件可采用以下一种方式修改:
    • 重新托管或更换许可证文件的许可证服务器主机
    • 为已有的许可证文件添加更多席位
    • 从已有的许可证文件中移除席位
    • 添加更多产品权限给许可证文件
    • 从许可证文件中删除产品权限
    • 许可证文件修改过程所用的输入表单与创建文件时的表单相同,区别在于为许可提供了相同许可证类型(浮动或节点锁定)的更多产品权限。

  • 恢复已删除的许可证组件:出现以下一种情况时,会删除许可证组件权利:
    • 更换许可证文件的许可证服务器主机。
    • 从已有的许可产品权限中移除席位。
    • 从许可证文件中删除产品权限。

一旦购买了开发工具的一个席位,就无法再更改。 不可取消和不可退货 (NCNR) 条款适用于所有开发系统产品。

有些特殊情况可允许退回。如果您有任何疑问,请联系本地经销商或客户服务团队。

在允许退回的情况下,您必须完成以下步骤才能将节点锁定席位变更为浮动席位(反之亦然)。

基于证书的许可证 (.lic files)

1. 在 AMD 许可证账户的 "manage license" 选项卡内删除为工具生成的所有许可证。
2. 同意电子版的销毁协议。
3. 向您购买设计工具的经销商提出退货授权 (RMA)。
4. 退货授权批准后,您可以购买所需的许可证类型。

注意:如果创建许可证选项卡上的许可证类型显示为“loating/Node-Locked”,这说明能够通过本次购买或授权为产品生成浮动或节点锁定许可证。这种情况下,无需执行步骤 3 和 4 (退货授权和再购买),您只需要执行步骤 1 和步骤 2,然后重新生成所需的许可证类型即可。

产品权限账户的管理职责可被转移或与另一个用户共享。可在“Manage Users”选项卡下为产品权限账户添加或移除用户。

  • 添加用户: 要为您的产品权限账户添加用户,应输入新用户的企业邮件地址。如果您想给新用户赋予完整的客户账户管理特权,应选定“Administrator”复选框。 如果您想让新用户具备管理和查看浮动许可证的客户账户特权,但不能添加或移除其他用户,应选定 "Floating" 复选框。您提供的电子邮件地址必须是该用户创建 AMD 账户时提供的邮件地址。否则,登录时可能就无法正确识别用户。
    • 如果用户已登录到产品许可站点,其名字就会自动出现在用户列表中。如果用户从未登陆过该站点,那么他们姓名的位置会出现 "Not Yet Registered" 字样。用户完成登录后,他们的姓名会填入到用户列表中。
  • 删除用户:
    • 取消选定对应相应用户的 "Administrator"复选框,就可删除账户中用户的管理特权。
    • 取消选定对应相应用户的 "Floating" 复选框,就可删除账户中用户的浮动特权。
    • 要将用户从产品权限账户中删除,应点击对应相应用户的 "Trash can" 图标。

2. 下载与安装

AMD 使用自解压可执行文件、ZIP 和 TAR方式来压缩文件。 您需要使用第三方供应商提供的软件解压 ZIP 和 TAR 文件格式。 请联系您的 IT 部门以获得帮助。 7-ZIP、GNU 内置工具、WinZIP 和 WinRar 都是常用的解压缩工具,均通过各自的开发商独立许可而非 AMD。请注意,AMD 不承担任何明示或暗示的担保,包括适销性或特定用途的适用性担保,以及对于所推荐工具的未侵权担保。

AMD 已经按照其提供的许可证类型和操作系统对工具进行分组。Vivado 完整镜像下载可下载所有内容,包含所有版本的安装程序以及 SDK 和 Lab 工具。 如果您只安装在特定操作系统上,可下载针对特定操作系统的版本。
要使用 Web 安装瘦客户端而且仅下载实际使用的文件,应使下载的操作系统与您机器上的内容相匹配。

需要使用所提供的下载管理器插件,确保从本站点完整下载所有文件。下载管理器插件遵循标准的插件安装惯例。必须安装下载管理器浏览器插件,以便从本站点下载产品。

您需要在 Xilinx.com 上注册,即可下载并安装设计工具。 完成此操作后,您就可以下载并安装该工具了。 在收到许可证后,您可以使用 Vivado 许可证管理器 (Vivado) 来设置您的许可证,以便与 AMD 设计工具结合使用。

可以。当您安装设计工具时,需为工具选择不同的安装目录。 当您想改变您要使用的设计工具时,只需将 AMD 环境变量改为您想要使用的工具组即可。

3. FlexNet 许可概览

FlexNet Publisher 许可也称为 FLEX 许可以及 FLEXlm 许可,是一种由Flexera Software 销售并在全行业使用的基于证书的运行时许可服务。证书是位于“许可证文件”内的加密“密钥”。 应用程序通过向 FLEX 服务提供“密钥”,从而自动检出许可证。这些服务位于本地计算机或Web服务器上。

注意:当应用程序打开和关闭时,会自动执行许可证的检入和检出;检入和检出不需要用户进行特定操作。

AMD 提供节点锁定和浮动(或网络浮动服务器)许可证。

节点锁定许可证是一个被锁定到特定机器或连接器的加密“密钥”(仅证书许可证)。该许可证是无数的,就是说在相同机器上同时运行的数量没有限制。

对于网络浮动许可证,只要机器能够访问已识别的许可证服务器,那么来自该机器的许可证就可以被用户使用。加密“密钥”被锁定在可运行 FLEX 许可证服务器后台程序的许可证服务器主机。许可证是有数的,也就是说 FLEX 许可证管理器会记录该服务器托管的“席位”数量。

AMD 浮动许可证的数量属于硬限制。如果您拥有 20 个席位,而且有 20 个用户同时使用许可工具,那么所有许可证都被使用。如果有第 21 个用户想要运行该工具,那么许可证检出将失败,应用程序不会运行。

在购买时选择工具的许可证类型。

新客户或需要续订的客户会购买某个版本的设计工具。这些版本的产品将由许可证类型加以区分,并反映在您所订购的部件编号中。

在生成许可证时选择 LogiCORE IP 的许可证类型。

只有当需要许可证的应用程序开始运行时才检出许可证。大部分应用程序检出和占用许可证的时长等于程序开启的时长。

一。对于浮动网络许可证而言,即使很多(例如 30 个)不同网络机器上同时产生很多(例如 30 个)MAP 或 PAR,SmartXplorer 和 ExploreAhead 也只检出一个工具许可证或席位。对于节点锁定许可证而言,用户不能使用 SmartXplorer 或 ExploreAhead 向其它机器生成任务,但可以在单个许可证上本地运行多个工作(例如,在多核系统的每个处理器分配一个工作)。

是的,可针对 USB FLEXnet 版本 9 生成面向 AMD 设计工具和 IP 基于证书的许可证。 加密狗可从 Flexera 或我们的一家经销商那里购买。USB 连接器许可仅支持 Windows 平台。

注意:要在 Windows 操作系统上使用 USB FLEX ID 连接器,您应在创建 FLEXnet许可证之前安装正确的驱动程序。

  1. 首先安装设计工具软件。这包含 USB FLEXid 连接器驱动器安装程序。运行 FLEXId_Dongle_Driver_Installer.exe found in \Vivado\\ids_lite\ISE\bin\nt64 \ISE\bin\nt。
  2. 在选择项窗口中确保只有 FLEXid 9 驱动程序被选中。
  3. 点击两次 "Next" 按钮,开始安装驱动程序。安装后会出现一个窗口询问是否希望重启。您需要重启以确保连接器能正常工作。

4. 哪些有许可证?

Vivado 综合 综合特性在 synth_design 过程中被检出并保持
Vivado 实现 实现特性在 opt_design、place_design、route_design 和电源选择设计过程中被检出并保持
Vivado 仿真 仿真特性在仿真编译过程中被检出并保持。如果未找到,有限线速降低
Dynamic Function eXchange (DFX) 从 Vivado 2019.1 开始,启用 DFX(以前称“部分重配置”)解决方案不需要任何许可证。之前版本的 Vivado 都需要部分重配置许可证特性,其随所有版本的许可证免费提供。
DSP
-- System Generator 生成命令需要 SysGen 特性。
-- Model Composer 运行 Model Composer 工具就需要该特性

不,Vivado WebPACK 不需要许可。

不,Vivado 许可证只在流程实际运行时检查。

5. AMD 软件的 FLEX 许可证级别

您可通过获得以下某种类型的许可证支持 AMD 软件应用的使用:

  • 30 天试用许可证
  • 30 天评估许可证
  • WebPACK 许可证
  • 已购买的许可证

30 天试用许可证不仅允许您指向每款 AMD 器件,而且还允许您运行所有 AMD 应用(除了比特流生成)。30 天试用许可证生成后 30 天过期。

30 天评估许可证不仅允许您指向每款 AMD 器件,而且还允许您运行所有 AMD 应用(包括比特流生成)。30 天评估许可证生成后 30 天过期。30 天评估许可证不是节点锁定许可证。

已购买许可证不仅允许您指向所有 CPLD 和 FPGA 器件,而且还允许您运行所有已购买的 AMD 应用)。(包括比特流生成)。购买的许可证没有过期。不过,有些购买的许可证确实包含版本限制(通常仅涵盖购买日期后一年的时间)。在这种情况下,用户将软件升级到版本限制月份之后发布的新版本时,就应获取新的许可证。

6. LogiCORE IP 核的 FLEX 许可证级别

LogiCORE IP 核的专用仿真或设计链接许可证是评估许可证密钥,不仅允许您浏览整个设计流程,而且还允许您执行预实现及后实现仿真。不过,您不能为包含 LogiCORE IP 核(由专用仿真许可证启用)的设计生成比特流。

LogiCORE IP 核完整系统硬件评估许可证允许您运行整个设计流程,包括实现、仿真和比特流生成。不过,生成的比特流中包含的电路会在典型的内核时钟速率工作 2 到 8 小时后禁用设计。实际工作时长根据每个内核不同而有所变化。要让器件重新工作,必须重新载入比特流(重设或重新编程器件)。

LogiCORE IP 核完整(无源)许可证密钥允许全面访问 LogiCORE IP 核网表,能让您运行整个设计流程,包括实现、仿真和比特流生成。针对已有完整许可证的含内核设计能编程到 FPGA 中继续使用。

注: LogiCORE IP 核评估许可证被全面许可证取代后,内核必须重新生成,设计必须重新实现。这就能确保内核网表不会包含评估内核所设定的限制。

为 LogiCORE IP核的源代码购买许可证能帮助您生成 LogiCORE IP 核网表并运行整个 AMD 设计流程,包括实现、仿真和比特流生成。为含有内核的设计已购买源代码许可证,就能编程到 FPGA 中继续使用。此外,源代码许可证还允许您访问内核源代码,其可能是内核生成的,也可能是单独为您提供的。源代码许可证只适用于某些精选 IP 核。

注: 当 LogiCORE IP 核评估许可证密钥被源代码许可证下的许可证所取代后,内核必须重新生成,设计必须重新实现。这就能确保内核网表不会包含评估内核所设定的限制。

7.过期和质保期

AMD 软件与 IP 许可到期:

  • 已购买的软件和已购买的 IP 许可证不会过期,但只支持质保期之前或质保期内发布的相关软件和 IP 版本。
  • Evaluation and trial licenses for software expire 30 days from the day they were generated.
  • 硬件评估 IP 许可证过期时间从 11.1 开始设定为 4 个月后。
  • 不需要付费的LogiCORE IP许可证不会过期,已购买的全面LogiCORE IP许可证也不会过期。 但完整的许可证密钥仅允许 1 年保修期间或之前发布的IP核。在 1 年保质期过后,如需访问全新 IP 版本和相关增强功能及错误修复,您需每年续签技术支持合同。

会的,所有许可证都有版本限制。

  • 版本限制对应于年份和月份(如 2015.04 对应于 2015 年 4 月)以及客户质保期结束。
  • 许可证将支持版本限制之前发布的所有工具版本。
  • WebPACK 许可在软件首发后的 1 年有版本限制。
  • IP 全面和源代码许可证密钥支持版本限制之前发布的所有 IP 版本。

AMD 设计工具软件的版本限制基于软件发布的最后构建日期。 针对 IP 核,版本限制基于具体 IP 核版本首次发布时的软件版本构建日期。 更多信息请参见:答复记录 33770

许可证的合同或质保期在版本限制中体现。

  • 针对 WebPACK 许可证,版本限制指软件首次发布后的一年。
  • 针对已购买的许可证,版本限制月份通常指工具购买后的一年。

不会的,许可证允许您根据需要继续使用该软件版本。已购买的软件许可证永不会过期。

可以,FLEX 许可证支持版本限制到期前发布的任何软件版本、更新或服务包等。

不能,FLEX 许可证不支持版本限制到期后发布的任何软件版本、更新或服务包。

软件发布日期基于 AMD 设定的官方发布日期,而并非您实际安装软件的时间。因此,您能安装版本限制前发布的任何产品,即便版本限制已经过期。

5.获取许可证

获取免费或已购买产品权限的许可有两大方法:

1. 针对不同类型的 Vivado 工具和 IP 许可证,从 Vivado 许可证管理器 (VLM) 中执行以下步骤:

  1. 选择“获取许可证”窗口。
  2. 选择所需的许可证类型并点击“现在连接”按钮,如果已经联网,请点击“保存信息”按钮创建 HTML 文件,以供访问互联网时使用。
  • 点击“Connect Now””后,将自动进入必要的 Web 位置注册或生成许可证。Vivado 许可证管理器可通过以下方式进行访问:

    • 安装程序完成后,Vivado 许可证管理器将在 "Obtain A License" 模式中启动。
    • 从 Vivado 中选择 Help -> Obtain a License Key。
    • 在命令 shell 中输入"vlm"。
    • 对于 dcxUsing Windows 启动菜单,请在“Xilinx 设计工具 > Vivado <版本>”下选择“管理 Xilinx 许可证”。

2. 对于基于证书的工具及 IP 许可证,客户也可访问产品许可页面并直接输入所需的信息。

可通过下列任意途径之一获取 FLEX HostID:

  • 打开 Xilinx 许可证管理器(XLCM)
    • 在 "Manage Xilinx Licenses" 选项卡底部,XLCM 将列出所有找到的有效 Host ID,包括网络接口卡 ID(或以太网 MAC 地址)、C: 驱动器序列号和 FLEXID 连接器 ID。
  • 打开 Vivado 许可证管理器 (VLM)
    • 在 VLM 的“系统设置”部分点击“系统信息”屏幕。 该窗口将列出所有找到的有效 Host ID,包括网络接口卡 ID(或以太网 MAC 地址)、C:驱动器序列号和 FLEXID 连接器 ID。
  • 从命令提示符:
    • 在 Windows 上
      • 对于以太网地址:输入“lmutil lhostid”— 使用列出的任意 12 位主机 ID。
      • 对于加密狗:"lmutil lmhostid -flexid"
    • 在 Linux 上:输入“lmutil lmhostid”。

浮动许可证只能由指定的 FLEX 管理员,或客户的授权账户浮动许可证管理员来生成。初始客户账户管理员将在产品订单上列明。FLEX 管理员有权为自己的账号添加用户,指定任何账号用户为管理员,或是授予任何用户浮动许可证权限。

可以,如果您是 FLEX 管理员或是拥有浮动许可证权限的用户。在从下载和许可网站生成浮动许可证时,在“System Information”下选择“Redundant Server”旁边的“Yes”。这样您可以输入三个服务器 HostID。

FLEX 管理员可以是任何受托生成 FLEX 浮动许可证和为客户账号向他人授予管理员、浮动许可证或最终用户权限的人员。初始 FLEX 管理员是产品订单上列明的最终用户或管理员联系人。

注意:不得将采购代理用于此目的!

FLEX 管理员能执行下列任何事项:

  • 生成浮动许可证
  • 为其他人生成节点锁定许可证
  • 向系统添加最终用户以及其他管理员
  • 授予管理员权限或浮动许可权限给其他用户
  • 从账户中删除用户

如果账户上已经存在 FLEX 管理员,可以为该账号添加新的 FLEX 管理员。如果账户上目前没有 FLEX 管理员,请拨打客服电话,设置新的FLEX 管理员

用于不同级别软件(即试用版、WebPack、设计版等)的软件二进制文件是相同的。因此,AMD 软件的级别可通过从 AMD 网站获取所需级别的许可证随时修改。请看“我如何获取许可证?”设备系列以及所选软件层面的适用软件也应该在使用前安装。

最终用户或管理员可从产品许可网站为基于证书的许可证初始化主机转移(修改许可证)操作。为修改现有的许可证文件,点击“Manage License”选项卡,在主视图中选择许可证文件,然后点击详细视图中的“Modify License”按钮。
点击同意销毁旧密钥的全部拷贝,客户就可以为新机器生成新密钥。 最终用户可进行三次自助主机转移操作,管理员可对每个主要版本可进行五次删除或主机转移操作。如果超过上述次数,最终用户或客户管理员需要致电客服,重置自动主机转移/删除许可次数。

注:如果您有权使用某项特性的站点许可证,那么您只需要访问产品许可网站,并可使用新计算机的 HostID 生成新的许可证。

9.使用节点锁定许可证或客户端许可证。

这是一个锁定到特定机器,或是对基于证书的许可证而言,锁定到特定连接器的许可证。该许可证不限数量,即(任何用户)在单台机器上可以同时运行的无限个许可证。

示例节点锁定许可证

INCREMENT System_Edition xilinxd 2013.06 permanent uncounted \ EE05B6C46335 \ VENDOR_STRING=joe.smith@acme,System_Edition,software,permanent,_27773986_134688_210178122_805 \ HOSTID=00216a62bb5c ISSUER="Xilinx Inc" START=22-Jul-2012 \ TS_OK

在生成许可证文件之后,用户会收到一封来自xilinx.notifications@entitlenow.com的电子邮件。

  1. 把电子邮件附件中的许可证文件保存到本地系统的临时目录下。
  2. 运行 Xilinx 许可证配置管理器 (XLCM) 或 Vivado 许可证管理器 (VLM),如果已经关闭,请切换到“管理 Xilinx 许可证”选项卡 (XLCM) 或“加载许可证窗口” (VLM)。
  3. 点击页面顶部的“复制许可证……”按钮。
  4. 浏览 Xilinx.lic 文件,点击 Open
    • 这样操作就可以把许可证文件拷贝到用户机器的  %APPDATA%\Xilinx (Windows) 或 $HOME/.Xilinx (Linux) 目录下,供 Xilinx 工具自动发现。
    • 如果您想验证该许可证是否能被 Xilinx 工具发现:

      对 XLCM 而言:请查看“管理 Xilinx 许可证”选项卡上的许可表。
      对 VLM 而言:请查看“查看许可证状态窗口”。

不需要,软件会使用许可证明确的匹配主机信息来确定产品是否在正确的机器上运行。

节点锁定许可证可锁定到网络接口卡 ID(或以太网 MAC 地址)、C:驱动器序列号(卷号)或 FLEXID连接器 ID。

这取决于用户和用户使用许可证的方式/地点。下列是需要思考的事项:

  • 只要您不更换自己的硬盘,磁盘序列号(卷 ID)就是可靠的。
  • 磁盘序列号(卷 ID)只对 Windows 平台有效。
  • FLEXID 硬件密钥(连接器)便于在不同计算机间轻松迁移节点锁定许可证。
  • FLEXID 硬件密钥(连接器)只配套用于…的驱动程序。
  • FLEXID 硬件密钥(连接器)必须单独购买。
  • 从 AMD 购买的 FLEXID 硬件密钥(连接器)只配套用于 Windows 操作系统的驱动程序。
  • 某些笔记本电脑具有一项功能,会禁用当前未使用的 LAN 端口。这可能造成在笔记本电脑移除的时候 LAN 网络接口卡 ID(或本地以太网 MAC 地址)“不存在”(或不可用)。
  • 在笔记本电脑连接或移除时,无线网络接口卡 ID(或无线以太网 MAC 地址)一般为可用状态。
  • 部分笔记本电脑具备飞行模式。如果该模式可自动关闭网络接口卡 (NIC),则无线 MAC ID 将不可用。

如果满足下列条件,可在远程终端上使用节点锁定许可证:

  1. 如果许可证锁定到计算机,或 FLEX 连接器连接到实际运行该应用的计算机,且
  2. 该许可证由 TS_OK 字符串生成。

软件和 LogiCORE IP CORE 许可证包含 TS_OK 字符串,便于从远程桌面使用。

10.使用浮动许可证或服务器许可证

基于证书的网络浮动许可证锁定到运行 FLEX 许可证服务器守护程序的许可服务器主机的许可证。浮动许可证需要计数,意即在 FLEX 许可证的“count”字段中录入的数量和服务器托管的“席位”的数量相等。许可证按每个独立用户进行检出。因此,如果 Joe 和 Bob 同时在两台不同的机器上运行 Vivado 实现方案,就需要计算两个 Vivado 席位。例如,下列许可证可以支持 PlanAhead、ChipscopePro 和/或 ISIM 的 10 个席位同时运行。

#Example Floating License # SERVER my_server1 80f0e696 2100 # USE_SERVER # VENDOR xilinxd # # This license is valid for permanent ( 0 days ) from Thu Mar 05 16:53:45 GMT+00:00 2012 INCREMENT Logic_Edition xilinxd 2013.03 permanent 10 9AF27E183C6D \ VENDOR_STRING=joe@gmail.com_Logic_Edition_software_permanent_1000 \ ISSUER="Xilinx Inc" START=05-Mar-2012 TS_OK # --------------------------------------------------------------- # PACKAGE Vivado_System_Edition xilinxd 2013.03 BCA973EE74F2 \ COMPONENTS="SDK SysGen PartialReconfiguration Simulation \ Lets update with the latest license with no simulation, system etc

执行 Analyzer HLS Synthesis" OPTIONS=SUITE

建议许可证可执行文件 (lmgrd)、许可证文件和调试与报告日志文件均存放在本地安装磁盘上。

当我收到自己生成的浮动许可证时,是否应该/能够以某种方法对该许可证进行编辑?当在许可站点上生成基于证书的浮动许可证时,将提示用户输入服务器名称和 hostID。另外,为生成的许可证添加端口号 (2100)。

  • hostID 包含在许可证 DRC 中,如果进行变更会造成错误。
  • 服务器名称未包含在 DRC 中,可变更,但只应为匹配正在使用的服务器的实际名称而进行变更。
  • 端口号未包含在 DRC 中,可变更为任何有效的可用端口。端口只能由清楚掌握实际情况的用户进行修改,且必须匹配 XILINXD_LICENSE_FILE 或 LM_LICENSE_FILE 变量(例如 2001@my_server)提示的端口号。
  • 特性栏或增量行上的所有文本都包含在许可证 DRC 中,且不得编辑。

支持。如果要生成用于浮动许可证的三重冗余服务器许可证,需要账户或浮动许可证管理员选择“冗余服务器?”行右侧的“是”。这样管理员就可以为一个主服务器和两个备份服务器选择或添加 hostID 信息。在冗余许可证服务器系统中:有三台机器被识别为许可证服务器,但只有一台是可发行许可证的主服务器。每台机器都有许可证文件的相同副本,含三个“服务器”行,每个“服务器”行对应一个被识别出的服务器。

部分用户更倾向于使用冗余许可证服务器的替代方案——许可证文件清单。在使用许可证文件清单时:在 XILINXD_LICENSE_FILE 环境变量中将许可证分割为多个文件并明确位置,在 UNIX 上用冒号 (:),在 Windows 上用分号 (;)。示例:1700@mylicense1: 1700@mylicense2

AMD 目前不支持浮动许可证排队。

AMD 目前不支持浮动许可证借用。

要启动许可证服务器系统,需调用服务器机器上的许可证服务器管理器 (lmgrd)。

  • 基于证书的服务器 "lmgrd -c 〈filename.lic〉 " 对于单个许可证文件或 "lmgrd -c- 〈目录名称〉,使用 lmgrd 处理许可证目录中带 .lic 扩展的所有文件,同时启动与这些文件关联的所有厂商后台程序。
  • 要让客户端指向浮动许可证密钥,XILINXD_LICENSE_FILE 变量(首选)或 LM_LICENSE_FILE 变量必须指向 port@server 位置。

注意:对于 Linux 操作系统而言,必须使用适当的 shell 和命令设置环境变量。

许可证服务器实用程序(lmgrd、lmutil、xlicsrvrmgr 和 xilinxd)可从产品下载站点下载。

  1. 前往 产品下载网页
  2. 对于最新的服务器工具,选择 Vivado 设计工具选项卡和最新版本 Vivado。
  3. 在 "License Management Tools"下点击所需 Linux 或 Windows 平台旁边的下载箭头。

11.使用许可证文件开展工作

检查所有可用 Xilinx 许可证的最简单方法是使用 Xilinx 许可证配置管理器 (XLCM) 或 Vivado 许可证管理器 (VLM)。在 XLCM 中选择“管理 Xilinx 许可证”选项卡,或在 VLM 中选择“查看许可证状态”窗口。许可证管理器不仅将指示所有运行在 XILINXD_LICENSE_FILE 和 LM_LICENSE_FILE 环境变量所指向服务器上的许可证,而且还将指示位于 $HOMEDIR/.xilinx 目录下的许可证。此外,我们还可通过 command shell 使用 "lmutil lmdiag"命令显示提供在给定目录中(或运行在给定服务器上)的所有 FLEX 许可证。

实例:
lmutil lmdiag -c %APPDATA%\Xilinx
lmutil lmdiag -c 1700@my_license_server

可以。只需使用文本编辑器将每个文件的内容拷贝到新文件中,就可合并许可证文件。请注意对于浮动许可证而言,“服务器”行必须与合并之前每个文件中的“服务器”行一样,在合并后得到的许可证文件中只应保留一个“服务器”行。

Xilinx 应用(含 XLCM 和 VLM)按下表所列位置及顺序查找 FLEX 许可证:

订购 Windows 位置 Linux 位置
1 在有设置的情况下,环境变量 XILINXD_LICENSE_FILE 列出的全部位置。 在有设置的情况下,环境变量 XILINXD_LICENSE_FILE 列出的全部位置。
2 在注册表 ("HKLM\Software\FLEXlm License Manager")中针对 XILINXD_LICENSE_FILE 高速缓存的位置。 针对 XILINXD_LICENSE_FILE 高速缓存的位置
3 在有设置的情况下,环境变量 LM_LICENSE_FILE 列出的全部位置。 在有设置的情况下,环境变量 LM_LICENSE_FILE 列出的全部位置。
4 %APPDATA%\Xilinx*.lic $HOME/.Xilinx/*.lic
5 %APPDATA%\Xilinx\Coregen\CoreLicenses\*.lic (支持 11.2 起版本) $HOME/.Xilinx/Coregen/CoreLicenses/*.lic (自 11.2 版支持)
6 在用户点击“设置”将 XILINXD_LICENSE_FILE 值保存在 XLCM 中时,可创建在 %APPDATA%\Xilinx\license_info.cache 中高速缓存的所有位置。
  • %APPDATA%\Xilinx 在 Windows 操作系统中的位置一般为 C:\.xilinx。
  • 环境变量中的条目可以是文件、目录和/或 PORT@HOST 值。
  • 各目录将根据 *.lic 进行搜索。
  • 相同目录下出现的许可证将按字母顺序进行搜索。
  • 单个文件中的许可证特性,将按它们在许可证文件中出现的先后顺序进行搜索。
  • “XLCM 管理器 Xilinx 许可证”选项卡和 Vivado 许可证管理器“许可证搜索位置”有一个搜索顺序列,用于根据每个许可证所在位置的精确顺序为每个许可证密钥编号。

该 IP 工具按下表所列位置及顺序搜索 FLEX 许可证:

订购 Windows 位置 Linux 位置
1 在有设置的情况下,环境变量 XILINXD_LICENSE_FILE 列出的全部位置。 在有设置的情况下,环境变量 XILINXD_LICENSE_FILE 列出的全部位置。
2 在有设置的情况下,环境变量 LM_LICENSE_FILE 列出的全部位置。 在有设置的情况下,环境变量 LM_LICENSE_FILE 列出的全部位置。
3 在注册表中针对 XILINXD_LICENSE_FILE 高速缓存的位置。 在 $HOME/.flexlmrc 中针对 XILINXD_LICENSE_FILE 高速缓存的位置。
4 %APPDATA%\Xilinx*.lic $HOME/.Xilinx/*.lic
5 %APPDATA%\Xilinx\Coregen\CoreLicenses\*.lic $HOME/.Xilinx/Coregen/CoreLicenses/*.lic
6 \data\ip\core_licenses \data\ip\core_licenses
  • %APPDATA%\Xilinx 在 Windows 操作系统中的位置一般为 C:\.xilinx。
  • 环境变量中的条目可以是文件、目录和/或 PORT@HOST 值。
  • 各目录将根据 *.lic 进行搜索。
  • 相同目录下出现的许可证将按字母顺序进行搜索。
  • 单个文件中的许可证特性,将按它们在许可证文件中出现的先后顺序进行搜索。

对于基于证书的许可证文件,Xilinx 许可证配置管理器 (XLCM) 和 Vivado 许可证管理器 (VLM) 会把许可证拷贝或放至 %APPDATA%\Xilinx 目录(Windows 机器)和 $HOME/.xilinx 目录(Linux 机器)下。已购买许可证和评估用许可证在生成后,会通过邮件发送给用户。节点锁定许可证应保存在 %APPDATA%\Xilinx 目录 (Windows) 或 $HOME/.xilinx 目录 (Linux) 下,或者由 XILINXD_LICENSE_FILE 变量指向的位置。

该“拷贝许可证”按钮不仅允许您搜索存储在本地或网络驱动器上任何位置的许可证文件,而且还可将该许可证文件拷贝到 %APPDATA%\Xilinx 目录 (Windows) 或 $HOME/.xilinx目录 (Linux) 下。

如果某许可证组件提供在多个许可证包(比如在逻辑版本和系统版本许可证)中,则按下列顺序选择可使用的许可证:

  1. 首先将使用可为应用提供最高操作权限的许可证(可实现最多特性)。注:对于大多数有许可证的 Xilinx 应用而言,就只有启用(有许可证)或禁用(无许可证)的情况,因此操作级别一样。
  2. 其次是在具有较新版本限制的许可证之前,使用最老版本限制的许可证。
  3. 如果版本限制也一样,那么启动日期靠后的许可证先使用。
  4. 如果版本限制和开始日期相同,就将使用搜索顺序中第一个有效的许可证。

对于基于证书的许可证,可以使用“分类”指令覆盖第 2、3 和 4 条规则。在不影响增量的 DRC 的情况下,可将该分类指令添加至增量行。首先使用具有最低分类值的特性或增量。

可以。FLEX 许可功能允许使用多个许可证文件上的所有可用席位。

如果应用运行在目标器件具有 WebPack 支持的设计上,而且 WebPack 许可证和版本许可证都可用,那么无论搜索顺序如何,都会使用 WebPack 许可证。

许可证由 FLEX 定位和使用,因此它们可通过缓存实现更快的访问。如果高速缓存的许可证被删除或覆盖,有时可能需要重置 FLEX 许可证高速缓存,以获得当前许可证。如需重置,请在 command shell 中输入“xlicmgr reset”。

对于每个找到的许可证密钥而言,XLCM 提供下列信息字段:

  • 特性 – 许可证密钥的特性、增量或软件包名称。
  • 软件或 IP 核 – 说明该许可证密钥是否适合软件应用,或者可启用对 LogiCORE IP 核的使用。
  • 版本限制 – 说明所发布软件及更新的截止日期(年/月)。Ex.本许可证不支持在该日期之后发布的软件更新。较早的 LogiCORE IP 核许可证会有一个单数 (e.g. ‘1.0’) ,表明该许可证只适合特定版本的 LogiCORE IP 核。
  • 有效期 – 说明许可证的到期时间。一般用于试用或评估许可证。
  • 许可证类型 – 显示许可证是浮动版还是节点锁定许可证。
  • 计数 – 显示针对该许可证特性启用的席位数。节点锁定许可证密钥只对单台计算机有效,因此它们通常不计数。
  • 使用中的许可证 – 目前正在使用该特性的席位数量。
  • 信息– 返回许可证文件中 VENDOR_STRING 行的值。该字串可提供该特性的详细介绍、特性的生成时间和特性的生成用户对象。
  • 服务器名称 – 对浮动许可证而言,该字段用于指明许可证密钥所在位置的端口号和服务器名称。
  • 文件名称 – 对节点锁定许可证而言,该字段用于指明许可证密钥所在位置的目录和文件名称。
  • Host ID – 说明服务器密钥锁定到的 Host ID。
  • Host ID匹配 – 说明许可证密钥的 Host ID 是否与连接到运行 XLCM 的机器的Host ID 匹配。
  • 许可证 CRC – 说明许可证密钥在当前机器上使用是否有效。注意:本计算中不考虑许可证的到期日。
  • 搜索顺序 – 定位 Xilinx 许可证密钥的顺序。

VLM 会显示基于证书的许可证表格。

  • 许可证名称– 许可证密钥的特性、增量或软件包名称。
  • 工具/IP – 说明许可证是用于 Xilinx 设计工具应用,还是用于启用 LogiCORE IP 核。
  • 有效期 – 说明许可证的到期时间。一般用于试用或评估许可证。
  • 版本限制 – 说明所发布软件及更新的截止日期(年月)。Ex.本许可证不支持在该日期之后发布的软件更新。较早的 LogiCORE IP 核许可证会有一个单数(比如 '1.0'),表明该许可证只适合特定版本的 LogiCORE IP 核。
  • 许可证类型(服务器/客户端) – 显示许可证是浮动版还是节点锁定许可证。
  • 位置 – 对浮动或服务器许可证而言,用于指明许可证所在位置的端口号和服务器名称。对节点锁定许可证而言,用于指明许可证所在位置的目录和文件名称。
  • 席位数量 – 说明目前检出该许可证特性的席位数量。节点锁定许可证密钥只对单台计算机有效,因此它们通常不计数。
  • 使用席位数量 – 说明目前检出该许可证特性的席位数量。
  • 许可证文件中的 Host ID(仅限于证书) – 说明许可证密钥锁定到的 Host ID。
  • Host ID 匹配(仅限于证书) – 说明许可证密钥 Host ID 是否与连接到运行 VLM 的机器的 Host ID 相匹配。
  • 许可证 CRC (仅限于证书) – 说明证书密钥在当前机器上使用是否有效。注意:本计算中不考虑许可证的到期日。
  • 搜索顺序 – 定位 Xilinx 许可证的顺序。
  • 许可证厂商字符串 – 返回许可证文件中 VENDOR_STRING 行的值。该字串可提供该特性的详细介绍、特性的生成时间和特性的生成用户对象。

12.LogiCORE IP 核专用许可

下列情况下检查 LogiCORE IP 核许可证:

  • 打开 LogiCORE IP 核定制 GUI 以提前通知用户许可证状态的时候。
  • LogiCORE IP 核生成功能会检查许可证状态,并嵌入许可证等级(完整版、仿真版、评估版)到 LogiCORE IP 核网表中。
  • Vivado 综合和 Vivado 实现会检查每个被许可LogiCORE IP 核网表中的嵌入式许可证信息,以及设计中正使用的每个LogiCORE IP 核的当前许可证。
  • BitGen 和 write_bitstream 会检查设计中全部许可内核的嵌入式许可证综合值。设计中任何仅限于仿真使用的许可IP 核都会阻碍生成比特流。

是的。为让 LogiCORE IP 核网表接收当前许可证状态,必须重新生成 LogiCORE IP 核。

LogiCORE IP 核许可证可以是浮动的,也可以是节点锁定的。许可证类型在许可证生成时就已经确定了,而不是在购买再定。

打开 XLCM 或 CORE Generator 即可获得 LogiCORE IP 核的整体状态。运行下列命令提示符即可获得详细信息。

Xlicmgr status –c -v

运行 "xlicmgr report .ngc"

不,购买或注册 LogiCORE IP 核许可证之后,就能从许可证站点获得许可证:CreateLicense

用户可通过下列任何途径之一检查对某个 LogiCORE IP 而言是否需要许可证。

在该内核产品页面的 IP 中心搜索。需要许可证密钥的内核要么在产品主页(免费 IP)上有“获取许可证”链接,或在产品页上有提示需要许可证密钥的“评估或订购”链接。

打开“内核生成器 IP”目录或“项目导航器新资源向导”,以及“选择 IP”页面,查看许可证列。任何需要许可证密钥的 LogiCORE IP 核都会在该许可证栏的旁边显示一个小挂锁图标。如果挂锁图标上显示‘$’,说明必须购买该许可证密钥方可使用。如果挂锁图标上没有显示‘$’,说明对已经获得许可的客户可免费使用该许可证密钥。

在 Vivado IDE 中,打开 IP 目录并查看 IP 目录下的许可证栏。任何含有所需许可证(即Xilinx 安装程序内置的许可证)的LogiCORE IP 核将在该栏中显示“已包含”。任何需要用户另行购买许可证才能使用该 IP 的 LogiCORE IP 核会在该栏中显示“购买”。

使用完整的 Xilinx LogiCORE IP 核许可证就可以生成该 LogiCORE IP 核网表并将其实现在设计中。第三方如果要实现包含 LogiCORE IP 核网表的设计,必须拥有该 LogiCORE IP 核许可证。

13.故障排除技巧

如果在“创建许可证”页面的产品表格中看不到您已购买的产品,很有可能是您没有对您的用户账户进行正确的权限设置。在您订购 Xilinx 设计工具或 IP 产品时,已向订单上指定的"Ship To" 联系人发送了一条通知。

如果您就是这个联系人,您应该已经收到了一条 Xilinx 通知,其中包含指令和唯一 URL,以供您获取已购买产品。如果您没有收到该电子邮件,请检查邮箱,确保不在垃圾邮箱里。

如果您不是订单中指定的 "Ship To" 联系人,您需要联系这个人,让他(她)登录到该站点,将您添加为您账户的 "end user" 或 "customer account administrator"。如需这样操作,他(她)将需要您的电子邮件地址。

如果您不知道订单中指定的 "Ship To" 联系人是谁,请与 Xilinx 软件客服联系。

Xilinx 产品许可站点内置有重要的防范措施,以确保符合美国出口法规要求以及 Xilinx 公司本身关于软件和源代码国际销售条款。该出错消息与我们的出口管制直接相关。由于下列原因,您可能会收到此消息:

  • 您所在国禁止接收该产品。
  • 您的 IP 地址所在国限制接收该产品。
  • 如果您的个人信息不完整,您就会收到这种出口违规出错消息。

要纠正个人信息中的错误或遗漏,请重新初始化产品注册的登录流程。系统会显示地址验证窗口,并提示您进行确认。此时您即可对个人档案中的任何错误进行修改,然后继续产品注册和下载流程。此外,还会向 Xilinx 客服代表发送一条通知。客服代表会审核该告警,必要时还会就您的账号状态与您联系。

这些问题可能与您的系统、ISP、互联网连接有关,或是您的系统与 Xilinx 产品下载和许可站点的交互有关。这里有部分建议可提供帮助:

  • 确保您使用的是最新的浏览器版本,最好是 Internet Explorer 8.x 版或更新版本,或是 FireFox 10.x 版或更新版本。
  • 如果通过防火墙和/或代理服务器下载,请联系您的系统管理员,确保可以通过防火墙下载且您的系统配置正确,能够进行下载。同时查看文件大小缓存参数。
  • 确保有足够的磁盘空间!磁盘空间比文件本身大小至少应大 2-3 倍。(例如 200 MB 的 Zip 文件,您需要 600 MB的可用磁盘空间。)

Xilinx 非常重视用户的隐私和安全并提供保护。提供 40 位 SSL(安全套接层)加密,用于保护您的个人信息在互联网传递时的安全性。SSL 保护可通过 Xilinx 产品注册和下载网站提供。所有新型浏览器都支持 SSL。如果您的浏览器不支持,建议升级到更新版本,以提供更严密的隐私保护。

只有在您的用户名和密码输入正确的情况下才有权访问您的注册信息。为保护用户,我们的客服代表无权以任何方式修改用户信息。如欲进一步了解 Xilinx 保护您个人信息的方式,请阅读 Xilinx 隐私声明

Xilinx 产品下载站点上的软件受严格的许可证和出口合规管制的制约,因此我们的下载站点内置了各种安全系统。这种安全架构依赖于用于下载的 HTTP 协议,因此我们不提供 FTP 选项。