TMRTool

业内首款用于为可重编程 FPGA 自动生成三重模块冗余(TMR)的开发工具。

三重模块冗余 (TMR) 技术开发用于满足高辐射环境中 FPGA 的特殊需求。TMR 用于空间应用,并且得到了众多任务关键型项目的证实,使得所有高可靠性 Virtex®-4 FPGA 设计能够完全免受 SEU 和 SET 问题的干扰。

器件系列支持

  • Virtex 5QV FPGA
  • Virtex 4QV FPGA

系统要求

  • Windows Server 2000/XP
  • Windows XP Professional
  • Windows 7 Professional

软件要求

主要特性

  • 自动将 TMR 置入 AMD FPGA 设计中,使其彻底免受 SEU 与 SET 的干扰
  • 支持所有设计输入方法、HDL 和综合工具
  • 提供可选的 SRL16 抽取功能
  • 轻松集成定制 TMR 模块
  • 使得设计者能够全权控制将其设计性能三倍化的方式
  • 通过减少错误及加快 TMR 实现步伐来提高设计者的生产率 

相关链接