Multiplier

概述

产品描述

乘法器操作很重要,并且广泛用于 DSP 应用。因此,实现最高的实现效率和时钟性能是 DSP 系统的关键,通常会为硬件工程师带来巨大的挑战。

乘法器 LogiCORE™ 在保持所需最高性能及资源效率的同时,还可通过抽象 FPGA 器件细节来简化这一挑战。该乘法器能够生成并行乘法器和常系数乘法器,两者都有不同的实现方式。此外,在瞬态资源估算的帮助下,硬件工程师还可针对其系统快速选择最佳解决方案。

对于乘法器(纯粹组合到完全流水线)时延(流水线)以及在 DSP48 Slice 中实现的对称舍入,这款 IP 可提供良好的控制。完全流水线的实现方案可实现最高的时钟频率性能


主要功能与优势

  • 二进制补码的有符号/无符号定点乘法器
  • 并行、固定常系数乘法器
  • 支持 1 至 64 位的宽输入和 1 至 128 位的宽输出,可选择完整乘积的任何部分
  • 使用 DSP Slice 时,支持无穷的对称舍入
  • 瞬时资源估算
  • 可选时钟启用、同步清除
  • VHDL 行为模型
  • 瞬时资源估算
  • 能够与 Vivado™ IP Catalog 及 AMD System Generator for DSP 一起使用

资源利用率


技术支持

技术文档

主要资料

Default Default 标题 文件类型 日期