UPGRADE YOUR BROWSER
We have detected your current browser version is not the latest one. Xilinx.com uses the latest web technologies to bring you the best online experience possible. Please upgrade to a Xilinx.com supported browser:Chrome, Firefox, Internet Explorer 11, Safari. Thank you!
You can use the following command for both project-mode and non-project mode to modify the device configuration settings:
set_property BITSTREAM.General.UnconstrainedPins {Allow} [current_design]
Example:
read_vhdl -library bftLib [ glob ./Sources/hdl/bftLib/*.vhdl ]
read_vhdl ./Sources/hdl/bft.vhdl
read_verilog [ glob ./Sources/hdl/*.v ]
read_xdc ./Sources/bft_full.xdc
synth_design -top bft -part xc7k70tfbg484-2 -flatten rebuilt
set_property BITSTREAM.General.UnconstrainedPins {Allow} [current_design]
opt_design
power_opt_design
place_design
phys_opt_design
route_design
write_bitstream -force ./bft.bit
Example:
set_property design_mode GateLvl [current_fileset]
set_property part xc7k70tfbg484-2 [current_project]
set_property edif_top_file ./Sources/top.edf [current_fileset]
read_edif [glob ./Sources/top.edf]
read_xdc ./Sources/bft_full.xdc
link_design
set_property BITSTREAM.General.UnconstrainedPins {Allow} [current_design]
opt_design
power_opt_design
place_design
phys_opt_design
route_design
write_bitstream -force bft.bit
AR# 55681 | |
---|---|
日期 | 10/23/2013 |
状态 | Active |
Type | 综合文章 |
器件 |
|
Tools |
|