Device/Package xc7v2000tflg1925 8/18/2011 15:49:36 Pin Pin Name Memory Byte Group Bank VCCAUX Group Super Logic Region I/O Type No-Connect AD20 DXN_0 NA 0 NA 1 CONFIG NA AD21 DXP_0 NA 0 NA 1 CONFIG NA AB20 VREFN_0 NA 0 NA 1 CONFIG NA AC21 VREFP_0 NA 0 NA 1 CONFIG NA AB21 VP_0 NA 0 NA 1 CONFIG NA AC20 VN_0 NA 0 NA 1 CONFIG NA AB1 VCCBATT_0 NA 0 NA 1 CONFIG NA AB2 CCLK_0 NA 0 NA 1 CONFIG NA AB5 TCK_0 NA 0 NA 1 CONFIG NA AB6 TMS_0 NA 0 NA 1 CONFIG NA AB4 TDI_0 NA 0 NA 1 CONFIG NA AB3 INIT_B_0 NA 0 NA 1 CONFIG NA AB10 PROGRAM_B_0 NA 0 NA 1 CONFIG NA AC10 CFGBVS_0 NA 0 NA 1 CONFIG NA AD10 DONE_0 NA 0 NA 1 CONFIG NA AG10 M2_0 NA 0 NA 1 CONFIG NA AH11 M0_0 NA 0 NA 1 CONFIG NA AG11 M1_0 NA 0 NA 1 CONFIG NA AB7 TDO_0 NA 0 NA 3 CONFIG NA AW36 IO_0_VRN_11 NA 11 0 0 HP NA AW40 IO_L1P_T0_11 0 11 0 0 HP NA AW41 IO_L1N_T0_11 0 11 0 0 HP NA AY43 IO_L2P_T0_11 0 11 0 0 HP NA BA43 IO_L2N_T0_11 0 11 0 0 HP NA AW43 IO_L3P_T0_DQS_11 0 11 0 0 HP NA AW44 IO_L3N_T0_DQS_11 0 11 0 0 HP NA BA44 IO_L4P_T0_11 0 11 0 0 HP NA BB44 IO_L4N_T0_11 0 11 0 0 HP NA AY41 IO_L5P_T0_11 0 11 0 0 HP NA AY42 IO_L5N_T0_11 0 11 0 0 HP NA BA42 IO_L6P_T0_11 0 11 0 0 HP NA BB42 IO_L6N_T0_VREF_11 0 11 0 0 HP NA BB41 IO_L7P_T1_11 1 11 0 0 HP NA BC41 IO_L7N_T1_11 1 11 0 0 HP NA BD40 IO_L8P_T1_11 1 11 0 0 HP NA BD41 IO_L8N_T1_11 1 11 0 0 HP NA BC42 IO_L9P_T1_DQS_11 1 11 0 0 HP NA BC43 IO_L9N_T1_DQS_11 1 11 0 0 HP NA BC39 IO_L10P_T1_11 1 11 0 0 HP NA BD39 IO_L10N_T1_11 1 11 0 0 HP NA BB39 IO_L11P_T1_SRCC_11 1 11 0 0 HP NA BB40 IO_L11N_T1_SRCC_11 1 11 0 0 HP NA AY40 IO_L12P_T1_MRCC_11 1 11 0 0 HP NA BA40 IO_L12N_T1_MRCC_11 1 11 0 0 HP NA AY38 IO_L13P_T2_MRCC_11 2 11 0 0 HP NA BA39 IO_L13N_T2_MRCC_11 2 11 0 0 HP NA BA37 IO_L14P_T2_SRCC_11 2 11 0 0 HP NA BA38 IO_L14N_T2_SRCC_11 2 11 0 0 HP NA AW38 IO_L15P_T2_DQS_11 2 11 0 0 HP NA AW39 IO_L15N_T2_DQS_11 2 11 0 0 HP NA BB37 IO_L16P_T2_11 2 11 0 0 HP NA BC37 IO_L16N_T2_11 2 11 0 0 HP NA BC38 IO_L17P_T2_11 2 11 0 0 HP NA BD38 IO_L17N_T2_11 2 11 0 0 HP NA AY36 IO_L18P_T2_11 2 11 0 0 HP NA AY37 IO_L18N_T2_11 2 11 0 0 HP NA BA34 IO_L19P_T3_11 3 11 0 0 HP NA BB34 IO_L19N_T3_VREF_11 3 11 0 0 HP NA AW35 IO_L20P_T3_11 3 11 0 0 HP NA AY35 IO_L20N_T3_11 3 11 0 0 HP NA BD35 IO_L21P_T3_DQS_11 3 11 0 0 HP NA BD36 IO_L21N_T3_DQS_11 3 11 0 0 HP NA BA35 IO_L22P_T3_11 3 11 0 0 HP NA BB35 IO_L22N_T3_11 3 11 0 0 HP NA BC34 IO_L23P_T3_11 3 11 0 0 HP NA BD34 IO_L23N_T3_11 3 11 0 0 HP NA BB36 IO_L24P_T3_11 3 11 0 0 HP NA BC36 IO_L24N_T3_11 3 11 0 0 HP NA AW34 IO_25_VRP_11 NA 11 0 0 HP NA AN34 IO_0_VRN_12 NA 12 0 0 HP NA AR37 IO_L1P_T0_12 0 12 0 0 HP NA AR38 IO_L1N_T0_12 0 12 0 0 HP NA AN37 IO_L2P_T0_12 0 12 0 0 HP NA AN38 IO_L2N_T0_12 0 12 0 0 HP NA AP34 IO_L3P_T0_DQS_12 0 12 0 0 HP NA AP35 IO_L3N_T0_DQS_12 0 12 0 0 HP NA AP38 IO_L4P_T0_12 0 12 0 0 HP NA AP39 IO_L4N_T0_12 0 12 0 0 HP NA AR35 IO_L5P_T0_12 0 12 0 0 HP NA AR36 IO_L5N_T0_12 0 12 0 0 HP NA AN36 IO_L6P_T0_12 0 12 0 0 HP NA AP36 IO_L6N_T0_VREF_12 0 12 0 0 HP NA AN39 IO_L7P_T1_12 1 12 0 0 HP NA AP40 IO_L7N_T1_12 1 12 0 0 HP NA AP43 IO_L8P_T1_12 1 12 0 0 HP NA AP44 IO_L8N_T1_12 1 12 0 0 HP NA AN41 IO_L9P_T1_DQS_12 1 12 0 0 HP NA AP41 IO_L9N_T1_DQS_12 1 12 0 0 HP NA AR43 IO_L10P_T1_12 1 12 0 0 HP NA AT43 IO_L10N_T1_12 1 12 0 0 HP NA AR40 IO_L11P_T1_SRCC_12 1 12 0 0 HP NA AR41 IO_L11N_T1_SRCC_12 1 12 0 0 HP NA AR42 IO_L12P_T1_MRCC_12 1 12 0 0 HP NA AT42 IO_L12N_T1_MRCC_12 1 12 0 0 HP NA AU39 IO_L13P_T2_MRCC_12 2 12 0 0 HP NA AU40 IO_L13N_T2_MRCC_12 2 12 0 0 HP NA AT39 IO_L14P_T2_SRCC_12 2 12 0 0 HP NA AT40 IO_L14N_T2_SRCC_12 2 12 0 0 HP NA AU41 IO_L15P_T2_DQS_12 2 12 0 0 HP NA AV41 IO_L15N_T2_DQS_12 2 12 0 0 HP NA AT44 IO_L16P_T2_12 2 12 0 0 HP NA AU44 IO_L16N_T2_12 2 12 0 0 HP NA AU42 IO_L17P_T2_12 2 12 0 0 HP NA AV42 IO_L17N_T2_12 2 12 0 0 HP NA AV43 IO_L18P_T2_12 2 12 0 0 HP NA AV44 IO_L18N_T2_12 2 12 0 0 HP NA AT37 IO_L19P_T3_12 3 12 0 0 HP NA AT38 IO_L19N_T3_VREF_12 3 12 0 0 HP NA AT35 IO_L20P_T3_12 3 12 0 0 HP NA AU35 IO_L20N_T3_12 3 12 0 0 HP NA AU36 IO_L21P_T3_DQS_12 3 12 0 0 HP NA AU37 IO_L21N_T3_DQS_12 3 12 0 0 HP NA AU34 IO_L22P_T3_12 3 12 0 0 HP NA AV34 IO_L22N_T3_12 3 12 0 0 HP NA AV38 IO_L23P_T3_12 3 12 0 0 HP NA AV39 IO_L23N_T3_12 3 12 0 0 HP NA AV36 IO_L24P_T3_12 3 12 0 0 HP NA AV37 IO_L24N_T3_12 3 12 0 0 HP NA AT34 IO_25_VRP_12 NA 12 0 0 HP NA AH34 IO_0_VRN_13 NA 13 0 0 HP NA AJ41 IO_L1P_T0_13 0 13 0 0 HP NA AK41 IO_L1N_T0_13 0 13 0 0 HP NA AH43 IO_L2P_T0_13 0 13 0 0 HP NA AH44 IO_L2N_T0_13 0 13 0 0 HP NA AK42 IO_L3P_T0_DQS_13 0 13 0 0 HP NA AK43 IO_L3N_T0_DQS_13 0 13 0 0 HP NA AJ43 IO_L4P_T0_13 0 13 0 0 HP NA AJ44 IO_L4N_T0_13 0 13 0 0 HP NA AK40 IO_L5P_T0_13 0 13 0 0 HP NA AL40 IO_L5N_T0_13 0 13 0 0 HP NA AJ39 IO_L6P_T0_13 0 13 0 0 HP NA AJ40 IO_L6N_T0_VREF_13 0 13 0 0 HP NA AH36 IO_L7P_T1_13 1 13 0 0 HP NA AJ36 IO_L7N_T1_13 1 13 0 0 HP NA AH37 IO_L8P_T1_13 1 13 0 0 HP NA AH38 IO_L8N_T1_13 1 13 0 0 HP NA AM36 IO_L9P_T1_DQS_13 1 13 0 0 HP NA AM37 IO_L9N_T1_DQS_13 1 13 0 0 HP NA AJ38 IO_L10P_T1_13 1 13 0 0 HP NA AK38 IO_L10N_T1_13 1 13 0 0 HP NA AL37 IO_L11P_T1_SRCC_13 1 13 0 0 HP NA AL38 IO_L11N_T1_SRCC_13 1 13 0 0 HP NA AK36 IO_L12P_T1_MRCC_13 1 13 0 0 HP NA AK37 IO_L12N_T1_MRCC_13 1 13 0 0 HP NA AM40 IO_L13P_T2_MRCC_13 2 13 0 0 HP NA AM41 IO_L13N_T2_MRCC_13 2 13 0 0 HP NA AL39 IO_L14P_T2_SRCC_13 2 13 0 0 HP NA AM39 IO_L14N_T2_SRCC_13 2 13 0 0 HP NA AM42 IO_L15P_T2_DQS_13 2 13 0 0 HP NA AN42 IO_L15N_T2_DQS_13 2 13 0 0 HP NA AL42 IO_L16P_T2_13 2 13 0 0 HP NA AL43 IO_L16N_T2_13 2 13 0 0 HP NA AN43 IO_L17P_T2_13 2 13 0 0 HP NA AN44 IO_L17N_T2_13 2 13 0 0 HP NA AL44 IO_L18P_T2_13 2 13 0 0 HP NA AM44 IO_L18N_T2_13 2 13 0 0 HP NA AJ33 IO_L19P_T3_13 3 13 0 0 HP NA AJ34 IO_L19N_T3_VREF_13 3 13 0 0 HP NA AJ35 IO_L20P_T3_13 3 13 0 0 HP NA AK35 IO_L20N_T3_13 3 13 0 0 HP NA AK33 IO_L21P_T3_DQS_13 3 13 0 0 HP NA AL33 IO_L21N_T3_DQS_13 3 13 0 0 HP NA AL34 IO_L22P_T3_13 3 13 0 0 HP NA AM34 IO_L22N_T3_13 3 13 0 0 HP NA AH32 IO_L23P_T3_13 3 13 0 0 HP NA AH33 IO_L23N_T3_13 3 13 0 0 HP NA AL35 IO_L24P_T3_13 3 13 0 0 HP NA AM35 IO_L24N_T3_13 3 13 0 0 HP NA AJ31 IO_25_VRP_13 NA 13 0 0 HP NA AE36 IO_0_VRN_14 NA 14 1 1 HP NA AF33 IO_L1P_T0_D00_MOSI_14 0 14 1 1 HP NA AF34 IO_L1N_T0_D01_DIN_14 0 14 1 1 HP NA AG34 IO_L2P_T0_D02_14 0 14 1 1 HP NA AG35 IO_L2N_T0_D03_14 0 14 1 1 HP NA AG36 IO_L3P_T0_DQS_PUDC_B_14 0 14 1 1 HP NA AG37 IO_L3N_T0_DQS_EMCCLK_14 0 14 1 1 HP NA AE32 IO_L4P_T0_D04_14 0 14 1 1 HP NA AE33 IO_L4N_T0_D05_14 0 14 1 1 HP NA AF32 IO_L5P_T0_D06_14 0 14 1 1 HP NA AG32 IO_L5N_T0_D07_14 0 14 1 1 HP NA AE35 IO_L6P_T0_FCS_B_14 0 14 1 1 HP NA AF35 IO_L6N_T0_D08_VREF_14 0 14 1 1 HP NA AG41 IO_L7P_T1_D09_14 1 14 1 1 HP NA AH41 IO_L7N_T1_D10_14 1 14 1 1 HP NA AE37 IO_L8P_T1_D11_14 1 14 1 1 HP NA AE38 IO_L8N_T1_D12_14 1 14 1 1 HP NA AG39 IO_L9P_T1_DQS_14 1 14 1 1 HP NA AH39 IO_L9N_T1_DQS_D13_14 1 14 1 1 HP NA AF37 IO_L10P_T1_D14_14 1 14 1 1 HP NA AF38 IO_L10N_T1_D15_14 1 14 1 1 HP NA AF39 IO_L11P_T1_SRCC_14 1 14 1 1 HP NA AG40 IO_L11N_T1_SRCC_14 1 14 1 1 HP NA AE40 IO_L12P_T1_MRCC_14 1 14 1 1 HP NA AF40 IO_L12N_T1_MRCC_14 1 14 1 1 HP NA AD41 IO_L13P_T2_MRCC_14 2 14 1 1 HP NA AE41 IO_L13N_T2_MRCC_14 2 14 1 1 HP NA AE42 IO_L14P_T2_SRCC_14 2 14 1 1 HP NA AF42 IO_L14N_T2_SRCC_14 2 14 1 1 HP NA AD43 IO_L15P_T2_DQS_RDWR_B_14 2 14 1 1 HP NA AD44 IO_L15N_T2_DQS_DOUT_CSO_B_14 2 14 1 1 HP NA AG42 IO_L16P_T2_CSI_B_14 2 14 1 1 HP NA AH42 IO_L16N_T2_A15_D31_14 2 14 1 1 HP NA AE43 IO_L17P_T2_A14_D30_14 2 14 1 1 HP NA AF43 IO_L17N_T2_A13_D29_14 2 14 1 1 HP NA AF44 IO_L18P_T2_A12_D28_14 2 14 1 1 HP NA AG44 IO_L18N_T2_A11_D27_14 2 14 1 1 HP NA AG29 IO_L19P_T3_A10_D26_14 3 14 1 1 HP NA AG30 IO_L19N_T3_A09_D25_VREF_14 3 14 1 1 HP NA AE30 IO_L20P_T3_A08_D24_14 3 14 1 1 HP NA AE31 IO_L20N_T3_A07_D23_14 3 14 1 1 HP NA AH28 IO_L21P_T3_DQS_14 3 14 1 1 HP NA AH29 IO_L21N_T3_DQS_A06_D22_14 3 14 1 1 HP NA AF29 IO_L22P_T3_A05_D21_14 3 14 1 1 HP NA AF30 IO_L22N_T3_A04_D20_14 3 14 1 1 HP NA AJ29 IO_L23P_T3_A03_D19_14 3 14 1 1 HP NA AJ30 IO_L23N_T3_A02_D18_14 3 14 1 1 HP NA AG31 IO_L24P_T3_A01_D17_14 3 14 1 1 HP NA AH31 IO_L24N_T3_A00_D16_14 3 14 1 1 HP NA AJ28 IO_25_VRP_14 NA 14 1 1 HP NA AA35 IO_0_VRN_15 NA 15 1 1 HP NA AC33 IO_L1P_T0_AD0P_15 0 15 1 1 HP NA AC34 IO_L1N_T0_AD0N_15 0 15 1 1 HP NA AB34 IO_L2P_T0_AD8P_15 0 15 1 1 HP NA AA34 IO_L2N_T0_AD8N_15 0 15 1 1 HP NA AD33 IO_L3P_T0_DQS_AD1P_15 0 15 1 1 HP NA AD34 IO_L3N_T0_DQS_AD1N_15 0 15 1 1 HP NA AB35 IO_L4P_T0_15 0 15 1 1 HP NA AB36 IO_L4N_T0_15 0 15 1 1 HP NA AD35 IO_L5P_T0_AD9P_15 0 15 1 1 HP NA AD36 IO_L5N_T0_AD9N_15 0 15 1 1 HP NA AA32 IO_L6P_T0_15 0 15 1 1 HP NA AA33 IO_L6N_T0_VREF_15 0 15 1 1 HP NA AD39 IO_L7P_T1_AD2P_15 1 15 1 1 HP NA AD40 IO_L7N_T1_AD2N_15 1 15 1 1 HP NA AB37 IO_L8P_T1_AD10P_15 1 15 1 1 HP NA AA37 IO_L8N_T1_AD10N_15 1 15 1 1 HP NA AC36 IO_L9P_T1_DQS_AD3P_15 1 15 1 1 HP NA AC37 IO_L9N_T1_DQS_AD3N_15 1 15 1 1 HP NA AD38 IO_L10P_T1_AD11P_15 1 15 1 1 HP NA AC38 IO_L10N_T1_AD11N_15 1 15 1 1 HP NA AC39 IO_L11P_T1_SRCC_15 1 15 1 1 HP NA AB39 IO_L11N_T1_SRCC_15 1 15 1 1 HP NA AA38 IO_L12P_T1_MRCC_15 1 15 1 1 HP NA AA39 IO_L12N_T1_MRCC_15 1 15 1 1 HP NA AC41 IO_L13P_T2_MRCC_15 2 15 1 1 HP NA AB41 IO_L13N_T2_MRCC_15 2 15 1 1 HP NA AB40 IO_L14P_T2_SRCC_15 2 15 1 1 HP NA AA40 IO_L14N_T2_SRCC_15 2 15 1 1 HP NA AC42 IO_L15P_T2_DQS_15 2 15 1 1 HP NA AB42 IO_L15N_T2_DQS_ADV_B_15 2 15 1 1 HP NA AA42 IO_L16P_T2_A28_15 2 15 1 1 HP NA AA43 IO_L16N_T2_A27_15 2 15 1 1 HP NA AC43 IO_L17P_T2_A26_15 2 15 1 1 HP NA AC44 IO_L17N_T2_A25_15 2 15 1 1 HP NA AB44 IO_L18P_T2_A24_15 2 15 1 1 HP NA AA44 IO_L18N_T2_A23_15 2 15 1 1 HP NA AB29 IO_L19P_T3_A22_15 3 15 1 1 HP NA AB30 IO_L19N_T3_A21_VREF_15 3 15 1 1 HP NA AC31 IO_L20P_T3_A20_15 3 15 1 1 HP NA AC32 IO_L20N_T3_A19_15 3 15 1 1 HP NA AA29 IO_L21P_T3_DQS_15 3 15 1 1 HP NA AA30 IO_L21N_T3_DQS_A18_15 3 15 1 1 HP NA AB31 IO_L22P_T3_A17_15 3 15 1 1 HP NA AB32 IO_L22N_T3_A16_15 3 15 1 1 HP NA AD29 IO_L23P_T3_FOE_B_15 3 15 1 1 HP NA AC29 IO_L23N_T3_FWE_B_15 3 15 1 1 HP NA AD30 IO_L24P_T3_RS1_15 3 15 1 1 HP NA AD31 IO_L24N_T3_RS0_15 3 15 1 1 HP NA AB28 IO_25_VRP_15 NA 15 1 1 HP NA U32 IO_0_VRN_16 NA 16 1 1 HP NA V33 IO_L1P_T0_16 0 16 1 1 HP NA V34 IO_L1N_T0_16 0 16 1 1 HP NA U34 IO_L2P_T0_16 0 16 1 1 HP NA U35 IO_L2N_T0_16 0 16 1 1 HP NA W33 IO_L3P_T0_DQS_16 0 16 1 1 HP NA W34 IO_L3N_T0_DQS_16 0 16 1 1 HP NA U36 IO_L4P_T0_16 0 16 1 1 HP NA U37 IO_L4N_T0_16 0 16 1 1 HP NA Y35 IO_L5P_T0_16 0 16 1 1 HP NA Y36 IO_L5N_T0_16 0 16 1 1 HP NA W35 IO_L6P_T0_16 0 16 1 1 HP NA W36 IO_L6N_T0_VREF_16 0 16 1 1 HP NA V38 IO_L7P_T1_16 1 16 1 1 HP NA V39 IO_L7N_T1_16 1 16 1 1 HP NA V36 IO_L8P_T1_16 1 16 1 1 HP NA V37 IO_L8N_T1_16 1 16 1 1 HP NA U39 IO_L9P_T1_DQS_16 1 16 1 1 HP NA U40 IO_L9N_T1_DQS_16 1 16 1 1 HP NA Y37 IO_L10P_T1_16 1 16 1 1 HP NA Y38 IO_L10N_T1_16 1 16 1 1 HP NA Y40 IO_L11P_T1_SRCC_16 1 16 1 1 HP NA W40 IO_L11N_T1_SRCC_16 1 16 1 1 HP NA W38 IO_L12P_T1_MRCC_16 1 16 1 1 HP NA W39 IO_L12N_T1_MRCC_16 1 16 1 1 HP NA V41 IO_L13P_T2_MRCC_16 2 16 1 1 HP NA V42 IO_L13N_T2_MRCC_16 2 16 1 1 HP NA Y41 IO_L14P_T2_SRCC_16 2 16 1 1 HP NA W41 IO_L14N_T2_SRCC_16 2 16 1 1 HP NA U41 IO_L15P_T2_DQS_16 2 16 1 1 HP NA U42 IO_L15N_T2_DQS_16 2 16 1 1 HP NA Y42 IO_L16P_T2_16 2 16 1 1 HP NA Y43 IO_L16N_T2_16 2 16 1 1 HP NA V43 IO_L17P_T2_16 2 16 1 1 HP NA V44 IO_L17N_T2_16 2 16 1 1 HP NA W43 IO_L18P_T2_16 2 16 1 1 HP NA W44 IO_L18N_T2_16 2 16 1 1 HP NA V31 IO_L19P_T3_16 3 16 1 1 HP NA V32 IO_L19N_T3_VREF_16 3 16 1 1 HP NA U30 IO_L20P_T3_16 3 16 1 1 HP NA U31 IO_L20N_T3_16 3 16 1 1 HP NA Y32 IO_L21P_T3_DQS_16 3 16 1 1 HP NA Y33 IO_L21N_T3_DQS_16 3 16 1 1 HP NA W30 IO_L22P_T3_16 3 16 1 1 HP NA W31 IO_L22N_T3_16 3 16 1 1 HP NA Y30 IO_L23P_T3_16 3 16 1 1 HP NA Y31 IO_L23N_T3_16 3 16 1 1 HP NA W29 IO_L24P_T3_16 3 16 1 1 HP NA V29 IO_L24N_T3_16 3 16 1 1 HP NA U29 IO_25_VRP_16 NA 16 1 1 HP NA T24 IO_0_VRN_17 NA 17 2 2 HP NA P24 IO_L1P_T0_17 0 17 2 2 HP NA N24 IO_L1N_T0_17 0 17 2 2 HP NA T23 IO_L2P_T0_17 0 17 2 2 HP NA R23 IO_L2N_T0_17 0 17 2 2 HP NA N22 IO_L3P_T0_DQS_17 0 17 2 2 HP NA N21 IO_L3N_T0_DQS_17 0 17 2 2 HP NA T22 IO_L4P_T0_17 0 17 2 2 HP NA R22 IO_L4N_T0_17 0 17 2 2 HP NA P23 IO_L5P_T0_17 0 17 2 2 HP NA N23 IO_L5N_T0_17 0 17 2 2 HP NA R21 IO_L6P_T0_17 0 17 2 2 HP NA P21 IO_L6N_T0_VREF_17 0 17 2 2 HP NA J23 IO_L7P_T1_17 1 17 2 2 HP NA H23 IO_L7N_T1_17 1 17 2 2 HP NA J24 IO_L8P_T1_17 1 17 2 2 HP NA H24 IO_L8N_T1_17 1 17 2 2 HP NA G24 IO_L9P_T1_DQS_17 1 17 2 2 HP NA F24 IO_L9N_T1_DQS_17 1 17 2 2 HP NA G25 IO_L10P_T1_17 1 17 2 2 HP NA F25 IO_L10N_T1_17 1 17 2 2 HP NA F22 IO_L11P_T1_SRCC_17 1 17 2 2 HP NA E22 IO_L11N_T1_SRCC_17 1 17 2 2 HP NA F23 IO_L12P_T1_MRCC_17 1 17 2 2 HP NA E23 IO_L12N_T1_MRCC_17 1 17 2 2 HP NA D23 IO_L13P_T2_MRCC_17 2 17 2 2 HP NA C23 IO_L13N_T2_MRCC_17 2 17 2 2 HP NA D24 IO_L14P_T2_SRCC_17 2 17 2 2 HP NA C24 IO_L14N_T2_SRCC_17 2 17 2 2 HP NA C22 IO_L15P_T2_DQS_17 2 17 2 2 HP NA B22 IO_L15N_T2_DQS_17 2 17 2 2 HP NA E25 IO_L16P_T2_17 2 17 2 2 HP NA D25 IO_L16N_T2_17 2 17 2 2 HP NA A23 IO_L17P_T2_17 2 17 2 2 HP NA A22 IO_L17N_T2_17 2 17 2 2 HP NA B24 IO_L18P_T2_17 2 17 2 2 HP NA A24 IO_L18N_T2_17 2 17 2 2 HP NA L23 IO_L19P_T3_17 3 17 2 2 HP NA K23 IO_L19N_T3_VREF_17 3 17 2 2 HP NA H22 IO_L20P_T3_17 3 17 2 2 HP NA G22 IO_L20N_T3_17 3 17 2 2 HP NA M24 IO_L21P_T3_DQS_17 3 17 2 2 HP NA L24 IO_L21N_T3_DQS_17 3 17 2 2 HP NA M22 IO_L22P_T3_17 3 17 2 2 HP NA L22 IO_L22N_T3_17 3 17 2 2 HP NA K22 IO_L23P_T3_17 3 17 2 2 HP NA K21 IO_L23N_T3_17 3 17 2 2 HP NA J21 IO_L24P_T3_17 3 17 2 2 HP NA H21 IO_L24N_T3_17 3 17 2 2 HP NA M21 IO_25_VRP_17 NA 17 2 2 HP NA T25 IO_0_VRN_18 NA 18 2 2 HP NA T28 IO_L1P_T0_18 0 18 2 2 HP NA R28 IO_L1N_T0_18 0 18 2 2 HP NA R26 IO_L2P_T0_18 0 18 2 2 HP NA P26 IO_L2N_T0_18 0 18 2 2 HP NA T27 IO_L3P_T0_DQS_18 0 18 2 2 HP NA R27 IO_L3N_T0_DQS_18 0 18 2 2 HP NA N26 IO_L4P_T0_18 0 18 2 2 HP NA N27 IO_L4N_T0_18 0 18 2 2 HP NA P28 IO_L5P_T0_18 0 18 2 2 HP NA N28 IO_L5N_T0_18 0 18 2 2 HP NA R25 IO_L6P_T0_18 0 18 2 2 HP NA P25 IO_L6N_T0_VREF_18 0 18 2 2 HP NA G29 IO_L7P_T1_18 1 18 2 2 HP NA F29 IO_L7N_T1_18 1 18 2 2 HP NA H27 IO_L8P_T1_18 1 18 2 2 HP NA H28 IO_L8N_T1_18 1 18 2 2 HP NA G27 IO_L9P_T1_DQS_18 1 18 2 2 HP NA F27 IO_L9N_T1_DQS_18 1 18 2 2 HP NA H26 IO_L10P_T1_18 1 18 2 2 HP NA G26 IO_L10N_T1_18 1 18 2 2 HP NA E26 IO_L11P_T1_SRCC_18 1 18 2 2 HP NA E27 IO_L11N_T1_SRCC_18 1 18 2 2 HP NA F28 IO_L12P_T1_MRCC_18 1 18 2 2 HP NA E28 IO_L12N_T1_MRCC_18 1 18 2 2 HP NA D28 IO_L13P_T2_MRCC_18 2 18 2 2 HP NA D29 IO_L13N_T2_MRCC_18 2 18 2 2 HP NA C27 IO_L14P_T2_SRCC_18 2 18 2 2 HP NA C28 IO_L14N_T2_SRCC_18 2 18 2 2 HP NA D26 IO_L15P_T2_DQS_18 2 18 2 2 HP NA C26 IO_L15N_T2_DQS_18 2 18 2 2 HP NA B26 IO_L16P_T2_18 2 18 2 2 HP NA B27 IO_L16N_T2_18 2 18 2 2 HP NA B25 IO_L17P_T2_18 2 18 2 2 HP NA A25 IO_L17N_T2_18 2 18 2 2 HP NA A27 IO_L18P_T2_18 2 18 2 2 HP NA A28 IO_L18N_T2_18 2 18 2 2 HP NA M27 IO_L19P_T3_18 3 18 2 2 HP NA L28 IO_L19N_T3_VREF_18 3 18 2 2 HP NA L27 IO_L20P_T3_18 3 18 2 2 HP NA K27 IO_L20N_T3_18 3 18 2 2 HP NA L25 IO_L21P_T3_DQS_18 3 18 2 2 HP NA K25 IO_L21N_T3_DQS_18 3 18 2 2 HP NA K28 IO_L22P_T3_18 3 18 2 2 HP NA J28 IO_L22N_T3_18 3 18 2 2 HP NA K26 IO_L23P_T3_18 3 18 2 2 HP NA J26 IO_L23N_T3_18 3 18 2 2 HP NA M25 IO_L24P_T3_18 3 18 2 2 HP NA M26 IO_L24N_T3_18 3 18 2 2 HP NA J25 IO_25_VRP_18 NA 18 2 2 HP NA P29 IO_0_VRN_19 NA 19 2 2 HP NA M30 IO_L1P_T0_19 0 19 2 2 HP NA M31 IO_L1N_T0_19 0 19 2 2 HP NA L32 IO_L2P_T0_19 0 19 2 2 HP NA L33 IO_L2N_T0_19 0 19 2 2 HP NA N29 IO_L3P_T0_DQS_19 0 19 2 2 HP NA M29 IO_L3N_T0_DQS_19 0 19 2 2 HP NA N32 IO_L4P_T0_19 0 19 2 2 HP NA N33 IO_L4N_T0_19 0 19 2 2 HP NA L29 IO_L5P_T0_19 0 19 2 2 HP NA L30 IO_L5N_T0_19 0 19 2 2 HP NA N31 IO_L6P_T0_19 0 19 2 2 HP NA M32 IO_L6N_T0_VREF_19 0 19 2 2 HP NA D33 IO_L7P_T1_19 1 19 2 2 HP NA C33 IO_L7N_T1_19 1 19 2 2 HP NA G31 IO_L8P_T1_19 1 19 2 2 HP NA G32 IO_L8N_T1_19 1 19 2 2 HP NA C32 IO_L9P_T1_DQS_19 1 19 2 2 HP NA B32 IO_L9N_T1_DQS_19 1 19 2 2 HP NA F33 IO_L10P_T1_19 1 19 2 2 HP NA E33 IO_L10N_T1_19 1 19 2 2 HP NA F32 IO_L11P_T1_SRCC_19 1 19 2 2 HP NA E32 IO_L11N_T1_SRCC_19 1 19 2 2 HP NA E31 IO_L12P_T1_MRCC_19 1 19 2 2 HP NA D31 IO_L12N_T1_MRCC_19 1 19 2 2 HP NA D30 IO_L13P_T2_MRCC_19 2 19 2 2 HP NA C31 IO_L13N_T2_MRCC_19 2 19 2 2 HP NA F30 IO_L14P_T2_SRCC_19 2 19 2 2 HP NA E30 IO_L14N_T2_SRCC_19 2 19 2 2 HP NA A32 IO_L15P_T2_DQS_19 2 19 2 2 HP NA A33 IO_L15N_T2_DQS_19 2 19 2 2 HP NA B30 IO_L16P_T2_19 2 19 2 2 HP NA B31 IO_L16N_T2_19 2 19 2 2 HP NA A29 IO_L17P_T2_19 2 19 2 2 HP NA A30 IO_L17N_T2_19 2 19 2 2 HP NA C29 IO_L18P_T2_19 2 19 2 2 HP NA B29 IO_L18N_T2_19 2 19 2 2 HP NA H31 IO_L19P_T3_19 3 19 2 2 HP NA H32 IO_L19N_T3_VREF_19 3 19 2 2 HP NA J33 IO_L20P_T3_19 3 19 2 2 HP NA H33 IO_L20N_T3_19 3 19 2 2 HP NA K30 IO_L21P_T3_DQS_19 3 19 2 2 HP NA J30 IO_L21N_T3_DQS_19 3 19 2 2 HP NA K31 IO_L22P_T3_19 3 19 2 2 HP NA J31 IO_L22N_T3_19 3 19 2 2 HP NA K32 IO_L23P_T3_19 3 19 2 2 HP NA K33 IO_L23N_T3_19 3 19 2 2 HP NA H29 IO_L24P_T3_19 3 19 2 2 HP NA G30 IO_L24N_T3_19 3 19 2 2 HP NA J29 IO_25_VRP_19 NA 19 2 2 HP NA N34 IO_0_VRN_20 NA 20 3 3 HP NA P35 IO_L1P_T0_20 0 20 3 3 HP NA P36 IO_L1N_T0_20 0 20 3 3 HP NA N36 IO_L2P_T0_20 0 20 3 3 HP NA N37 IO_L2N_T0_20 0 20 3 3 HP NA T35 IO_L3P_T0_DQS_20 0 20 3 3 HP NA R35 IO_L3N_T0_DQS_20 0 20 3 3 HP NA N38 IO_L4P_T0_20 0 20 3 3 HP NA N39 IO_L4N_T0_20 0 20 3 3 HP NA R36 IO_L5P_T0_20 0 20 3 3 HP NA R37 IO_L5N_T0_20 0 20 3 3 HP NA M39 IO_L6P_T0_20 0 20 3 3 HP NA M40 IO_L6N_T0_VREF_20 0 20 3 3 HP NA T39 IO_L7P_T1_20 1 20 3 3 HP NA T40 IO_L7N_T1_20 1 20 3 3 HP NA N41 IO_L8P_T1_20 1 20 3 3 HP NA M41 IO_L8N_T1_20 1 20 3 3 HP NA T37 IO_L9P_T1_DQS_20 1 20 3 3 HP NA T38 IO_L9N_T1_DQS_20 1 20 3 3 HP NA P41 IO_L10P_T1_20 1 20 3 3 HP NA N42 IO_L10N_T1_20 1 20 3 3 HP NA P39 IO_L11P_T1_SRCC_20 1 20 3 3 HP NA P40 IO_L11N_T1_SRCC_20 1 20 3 3 HP NA R38 IO_L12P_T1_MRCC_20 1 20 3 3 HP NA P38 IO_L12N_T1_MRCC_20 1 20 3 3 HP NA R40 IO_L13P_T2_MRCC_20 2 20 3 3 HP NA R41 IO_L13N_T2_MRCC_20 2 20 3 3 HP NA T42 IO_L14P_T2_SRCC_20 2 20 3 3 HP NA R42 IO_L14N_T2_SRCC_20 2 20 3 3 HP NA P43 IO_L15P_T2_DQS_20 2 20 3 3 HP NA N43 IO_L15N_T2_DQS_20 2 20 3 3 HP NA T43 IO_L16P_T2_20 2 20 3 3 HP NA R43 IO_L16N_T2_20 2 20 3 3 HP NA P44 IO_L17P_T2_20 2 20 3 3 HP NA N44 IO_L17N_T2_20 2 20 3 3 HP NA U44 IO_L18P_T2_20 2 20 3 3 HP NA T44 IO_L18N_T2_20 2 20 3 3 HP NA T33 IO_L19P_T3_20 3 20 3 3 HP NA T34 IO_L19N_T3_VREF_20 3 20 3 3 HP NA P33 IO_L20P_T3_20 3 20 3 3 HP NA P34 IO_L20N_T3_20 3 20 3 3 HP NA R30 IO_L21P_T3_DQS_20 3 20 3 3 HP NA R31 IO_L21N_T3_DQS_20 3 20 3 3 HP NA R32 IO_L22P_T3_20 3 20 3 3 HP NA R33 IO_L22N_T3_20 3 20 3 3 HP NA T29 IO_L23P_T3_20 3 20 3 3 HP NA T30 IO_L23N_T3_20 3 20 3 3 HP NA P30 IO_L24P_T3_20 3 20 3 3 HP NA P31 IO_L24N_T3_20 3 20 3 3 HP NA T32 IO_25_VRP_20 NA 20 3 3 HP NA H34 IO_0_VRN_21 NA 21 3 3 HP NA G39 IO_L1P_T0_21 0 21 3 3 HP NA G40 IO_L1N_T0_21 0 21 3 3 HP NA G34 IO_L2P_T0_21 0 21 3 3 HP NA G35 IO_L2N_T0_21 0 21 3 3 HP NA J39 IO_L3P_T0_DQS_21 0 21 3 3 HP NA H39 IO_L3N_T0_DQS_21 0 21 3 3 HP NA G36 IO_L4P_T0_21 0 21 3 3 HP NA G37 IO_L4N_T0_21 0 21 3 3 HP NA J38 IO_L5P_T0_21 0 21 3 3 HP NA H38 IO_L5N_T0_21 0 21 3 3 HP NA H36 IO_L6P_T0_21 0 21 3 3 HP NA H37 IO_L6N_T0_VREF_21 0 21 3 3 HP NA G41 IO_L7P_T1_21 1 21 3 3 HP NA G42 IO_L7N_T1_21 1 21 3 3 HP NA L39 IO_L8P_T1_21 1 21 3 3 HP NA L40 IO_L8N_T1_21 1 21 3 3 HP NA H42 IO_L9P_T1_DQS_21 1 21 3 3 HP NA H43 IO_L9N_T1_DQS_21 1 21 3 3 HP NA L38 IO_L10P_T1_21 1 21 3 3 HP NA K38 IO_L10N_T1_21 1 21 3 3 HP NA J41 IO_L11P_T1_SRCC_21 1 21 3 3 HP NA H41 IO_L11N_T1_SRCC_21 1 21 3 3 HP NA K40 IO_L12P_T1_MRCC_21 1 21 3 3 HP NA J40 IO_L12N_T1_MRCC_21 1 21 3 3 HP NA M42 IO_L13P_T2_MRCC_21 2 21 3 3 HP NA L42 IO_L13N_T2_MRCC_21 2 21 3 3 HP NA K41 IO_L14P_T2_SRCC_21 2 21 3 3 HP NA K42 IO_L14N_T2_SRCC_21 2 21 3 3 HP NA L43 IO_L15P_T2_DQS_21 2 21 3 3 HP NA K43 IO_L15N_T2_DQS_21 2 21 3 3 HP NA H44 IO_L16P_T2_21 2 21 3 3 HP NA G44 IO_L16N_T2_21 2 21 3 3 HP NA M44 IO_L17P_T2_21 2 21 3 3 HP NA L44 IO_L17N_T2_21 2 21 3 3 HP NA J43 IO_L18P_T2_21 2 21 3 3 HP NA J44 IO_L18N_T2_21 2 21 3 3 HP NA K36 IO_L19P_T3_21 3 21 3 3 HP NA J36 IO_L19N_T3_VREF_21 3 21 3 3 HP NA L37 IO_L20P_T3_21 3 21 3 3 HP NA K37 IO_L20N_T3_21 3 21 3 3 HP NA M36 IO_L21P_T3_DQS_21 3 21 3 3 HP NA M37 IO_L21N_T3_DQS_21 3 21 3 3 HP NA J34 IO_L22P_T3_21 3 21 3 3 HP NA J35 IO_L22N_T3_21 3 21 3 3 HP NA L34 IO_L23P_T3_21 3 21 3 3 HP NA L35 IO_L23N_T3_21 3 21 3 3 HP NA M34 IO_L24P_T3_21 3 21 3 3 HP NA M35 IO_L24N_T3_21 3 21 3 3 HP NA K35 IO_25_VRP_21 NA 21 3 3 HP NA F34 IO_0_VRN_22 NA 22 3 3 HP NA B35 IO_L1P_T0_22 0 22 3 3 HP NA A35 IO_L1N_T0_22 0 22 3 3 HP NA D34 IO_L2P_T0_22 0 22 3 3 HP NA C34 IO_L2N_T0_22 0 22 3 3 HP NA D35 IO_L3P_T0_DQS_22 0 22 3 3 HP NA D36 IO_L3N_T0_DQS_22 0 22 3 3 HP NA B34 IO_L4P_T0_22 0 22 3 3 HP NA A34 IO_L4N_T0_22 0 22 3 3 HP NA C36 IO_L5P_T0_22 0 22 3 3 HP NA B36 IO_L5N_T0_22 0 22 3 3 HP NA F35 IO_L6P_T0_22 0 22 3 3 HP NA E35 IO_L6N_T0_VREF_22 0 22 3 3 HP NA E36 IO_L7P_T1_22 1 22 3 3 HP NA E37 IO_L7N_T1_22 1 22 3 3 HP NA A37 IO_L8P_T1_22 1 22 3 3 HP NA A38 IO_L8N_T1_22 1 22 3 3 HP NA F38 IO_L9P_T1_DQS_22 1 22 3 3 HP NA F39 IO_L9N_T1_DQS_22 1 22 3 3 HP NA C37 IO_L10P_T1_22 1 22 3 3 HP NA B37 IO_L10N_T1_22 1 22 3 3 HP NA E38 IO_L11P_T1_SRCC_22 1 22 3 3 HP NA D38 IO_L11N_T1_SRCC_22 1 22 3 3 HP NA C38 IO_L12P_T1_MRCC_22 1 22 3 3 HP NA C39 IO_L12N_T1_MRCC_22 1 22 3 3 HP NA D41 IO_L13P_T2_MRCC_22 2 22 3 3 HP NA C41 IO_L13N_T2_MRCC_22 2 22 3 3 HP NA D39 IO_L14P_T2_SRCC_22 2 22 3 3 HP NA D40 IO_L14N_T2_SRCC_22 2 22 3 3 HP NA B41 IO_L15P_T2_DQS_22 2 22 3 3 HP NA A42 IO_L15N_T2_DQS_22 2 22 3 3 HP NA B39 IO_L16P_T2_22 2 22 3 3 HP NA B40 IO_L16N_T2_22 2 22 3 3 HP NA C42 IO_L17P_T2_22 2 22 3 3 HP NA B42 IO_L17N_T2_22 2 22 3 3 HP NA A39 IO_L18P_T2_22 2 22 3 3 HP NA A40 IO_L18N_T2_22 2 22 3 3 HP NA F40 IO_L19P_T3_22 3 22 3 3 HP NA E40 IO_L19N_T3_VREF_22 3 22 3 3 HP NA E41 IO_L20P_T3_22 3 22 3 3 HP NA E42 IO_L20N_T3_22 3 22 3 3 HP NA D43 IO_L21P_T3_DQS_22 3 22 3 3 HP NA D44 IO_L21N_T3_DQS_22 3 22 3 3 HP NA C43 IO_L22P_T3_22 3 22 3 3 HP NA C44 IO_L22N_T3_22 3 22 3 3 HP NA F43 IO_L23P_T3_22 3 22 3 3 HP NA F44 IO_L23N_T3_22 3 22 3 3 HP NA F42 IO_L24P_T3_22 3 22 3 3 HP NA E43 IO_L24N_T3_22 3 22 3 3 HP NA F37 IO_25_VRP_22 NA 22 3 3 HP NA AJ13 IO_0_VRN_31 NA 31 4 0 HP NA AM12 IO_L1P_T0_31 0 31 4 0 HP NA AM11 IO_L1N_T0_31 0 31 4 0 HP NA AJ11 IO_L2P_T0_31 0 31 4 0 HP NA AJ10 IO_L2N_T0_31 0 31 4 0 HP NA AL13 IO_L3P_T0_DQS_31 0 31 4 0 HP NA AL12 IO_L3N_T0_DQS_31 0 31 4 0 HP NA AK13 IO_L4P_T0_31 0 31 4 0 HP NA AK12 IO_L4N_T0_31 0 31 4 0 HP NA AL10 IO_L5P_T0_31 0 31 4 0 HP NA AM10 IO_L5N_T0_31 0 31 4 0 HP NA AK11 IO_L6P_T0_31 0 31 4 0 HP NA AK10 IO_L6N_T0_VREF_31 0 31 4 0 HP NA AP10 IO_L7P_T1_31 1 31 4 0 HP NA AR10 IO_L7N_T1_31 1 31 4 0 HP NA AR13 IO_L8P_T1_31 1 31 4 0 HP NA AT13 IO_L8N_T1_31 1 31 4 0 HP NA AN12 IO_L9P_T1_DQS_31 1 31 4 0 HP NA AN11 IO_L9N_T1_DQS_31 1 31 4 0 HP NA AN13 IO_L10P_T1_31 1 31 4 0 HP NA AP13 IO_L10N_T1_31 1 31 4 0 HP NA AP11 IO_L11P_T1_SRCC_31 1 31 4 0 HP NA AR11 IO_L11N_T1_SRCC_31 1 31 4 0 HP NA AR12 IO_L12P_T1_MRCC_31 1 31 4 0 HP NA AT12 IO_L12N_T1_MRCC_31 1 31 4 0 HP NA AU12 IO_L13P_T2_MRCC_31 2 31 4 0 HP NA AU11 IO_L13N_T2_MRCC_31 2 31 4 0 HP NA AV12 IO_L14P_T2_SRCC_31 2 31 4 0 HP NA AV11 IO_L14N_T2_SRCC_31 2 31 4 0 HP NA AT10 IO_L15P_T2_DQS_31 2 31 4 0 HP NA AU10 IO_L15N_T2_DQS_31 2 31 4 0 HP NA AW11 IO_L16P_T2_31 2 31 4 0 HP NA AY11 IO_L16N_T2_31 2 31 4 0 HP NA AW10 IO_L17P_T2_31 2 31 4 0 HP NA AY10 IO_L17N_T2_31 2 31 4 0 HP NA AW13 IO_L18P_T2_31 2 31 4 0 HP NA AY13 IO_L18N_T2_31 2 31 4 0 HP NA BA10 IO_L19P_T3_31 3 31 4 0 HP NA BB10 IO_L19N_T3_VREF_31 3 31 4 0 HP NA BB11 IO_L20P_T3_31 3 31 4 0 HP NA BC11 IO_L20N_T3_31 3 31 4 0 HP NA AY12 IO_L21P_T3_DQS_31 3 31 4 0 HP NA BA12 IO_L21N_T3_DQS_31 3 31 4 0 HP NA BD11 IO_L22P_T3_31 3 31 4 0 HP NA BD10 IO_L22N_T3_31 3 31 4 0 HP NA BB12 IO_L23P_T3_31 3 31 4 0 HP NA BC12 IO_L23N_T3_31 3 31 4 0 HP NA BC13 IO_L24P_T3_31 3 31 4 0 HP NA BD13 IO_L24N_T3_31 3 31 4 0 HP NA AV13 IO_25_VRP_31 NA 31 4 0 HP NA AV17 IO_0_VRN_32 NA 32 4 0 HP NA BB17 IO_L1P_T0_32 0 32 4 0 HP NA BB16 IO_L1N_T0_32 0 32 4 0 HP NA BC14 IO_L2P_T0_32 0 32 4 0 HP NA BD14 IO_L2N_T0_32 0 32 4 0 HP NA BC17 IO_L3P_T0_DQS_32 0 32 4 0 HP NA BC16 IO_L3N_T0_DQS_32 0 32 4 0 HP NA BB15 IO_L4P_T0_32 0 32 4 0 HP NA BB14 IO_L4N_T0_32 0 32 4 0 HP NA BD16 IO_L5P_T0_32 0 32 4 0 HP NA BD15 IO_L5N_T0_32 0 32 4 0 HP NA BA14 IO_L6P_T0_32 0 32 4 0 HP NA BA13 IO_L6N_T0_VREF_32 0 32 4 0 HP NA AY17 IO_L7P_T1_32 1 32 4 0 HP NA BA17 IO_L7N_T1_32 1 32 4 0 HP NA AV14 IO_L8P_T1_32 1 32 4 0 HP NA AW14 IO_L8N_T1_32 1 32 4 0 HP NA AY15 IO_L9P_T1_DQS_32 1 32 4 0 HP NA BA15 IO_L9N_T1_DQS_32 1 32 4 0 HP NA AT14 IO_L10P_T1_32 1 32 4 0 HP NA AU14 IO_L10N_T1_32 1 32 4 0 HP NA AV16 IO_L11P_T1_SRCC_32 1 32 4 0 HP NA AW15 IO_L11N_T1_SRCC_32 1 32 4 0 HP NA AW16 IO_L12P_T1_MRCC_32 1 32 4 0 HP NA AY16 IO_L12N_T1_MRCC_32 1 32 4 0 HP NA AT15 IO_L13P_T2_MRCC_32 2 32 4 0 HP NA AU15 IO_L13N_T2_MRCC_32 2 32 4 0 HP NA AU17 IO_L14P_T2_SRCC_32 2 32 4 0 HP NA AU16 IO_L14N_T2_SRCC_32 2 32 4 0 HP NA AP16 IO_L15P_T2_DQS_32 2 32 4 0 HP NA AP15 IO_L15N_T2_DQS_32 2 32 4 0 HP NA AR17 IO_L16P_T2_32 2 32 4 0 HP NA AT17 IO_L16N_T2_32 2 32 4 0 HP NA AN17 IO_L17P_T2_32 2 32 4 0 HP NA AN16 IO_L17N_T2_32 2 32 4 0 HP NA AR16 IO_L18P_T2_32 2 32 4 0 HP NA AR15 IO_L18N_T2_32 2 32 4 0 HP NA AM17 IO_L19P_T3_32 3 32 4 0 HP NA AM16 IO_L19N_T3_VREF_32 3 32 4 0 HP NA AN14 IO_L20P_T3_32 3 32 4 0 HP NA AP14 IO_L20N_T3_32 3 32 4 0 HP NA AK16 IO_L21P_T3_DQS_32 3 32 4 0 HP NA AK15 IO_L21N_T3_DQS_32 3 32 4 0 HP NA AM15 IO_L22P_T3_32 3 32 4 0 HP NA AM14 IO_L22N_T3_32 3 32 4 0 HP NA AJ16 IO_L23P_T3_32 3 32 4 0 HP NA AJ15 IO_L23N_T3_32 3 32 4 0 HP NA AL15 IO_L24P_T3_32 3 32 4 0 HP NA AL14 IO_L24N_T3_32 3 32 4 0 HP NA AJ14 IO_25_VRP_32 NA 32 4 0 HP NA AV21 IO_0_VRN_33 NA 33 4 0 HP NA BB21 IO_L1P_T0_33 0 33 4 0 HP NA BC21 IO_L1N_T0_33 0 33 4 0 HP NA BA20 IO_L2P_T0_33 0 33 4 0 HP NA BB20 IO_L2N_T0_33 0 33 4 0 HP NA BD21 IO_L3P_T0_DQS_33 0 33 4 0 HP NA BD20 IO_L3N_T0_DQS_33 0 33 4 0 HP NA BC18 IO_L4P_T0_33 0 33 4 0 HP NA BD18 IO_L4N_T0_33 0 33 4 0 HP NA BC19 IO_L5P_T0_33 0 33 4 0 HP NA BD19 IO_L5N_T0_33 0 33 4 0 HP NA BA19 IO_L6P_T0_33 0 33 4 0 HP NA BB19 IO_L6N_T0_VREF_33 0 33 4 0 HP NA AW21 IO_L7P_T1_33 1 33 4 0 HP NA AY21 IO_L7N_T1_33 1 33 4 0 HP NA AY18 IO_L8P_T1_33 1 33 4 0 HP NA BA18 IO_L8N_T1_33 1 33 4 0 HP NA AW20 IO_L9P_T1_DQS_33 1 33 4 0 HP NA AY20 IO_L9N_T1_DQS_33 1 33 4 0 HP NA AW19 IO_L10P_T1_33 1 33 4 0 HP NA AW18 IO_L10N_T1_33 1 33 4 0 HP NA AT19 IO_L11P_T1_SRCC_33 1 33 4 0 HP NA AU19 IO_L11N_T1_SRCC_33 1 33 4 0 HP NA AV19 IO_L12P_T1_MRCC_33 1 33 4 0 HP NA AV18 IO_L12N_T1_MRCC_33 1 33 4 0 HP NA AR20 IO_L13P_T2_MRCC_33 2 33 4 0 HP NA AT20 IO_L13N_T2_MRCC_33 2 33 4 0 HP NA AU21 IO_L14P_T2_SRCC_33 2 33 4 0 HP NA AU20 IO_L14N_T2_SRCC_33 2 33 4 0 HP NA AP21 IO_L15P_T2_DQS_33 2 33 4 0 HP NA AR21 IO_L15N_T2_DQS_33 2 33 4 0 HP NA AN18 IO_L16P_T2_33 2 33 4 0 HP NA AP18 IO_L16N_T2_33 2 33 4 0 HP NA AP20 IO_L17P_T2_33 2 33 4 0 HP NA AP19 IO_L17N_T2_33 2 33 4 0 HP NA AR18 IO_L18P_T2_33 2 33 4 0 HP NA AT18 IO_L18N_T2_33 2 33 4 0 HP NA AK18 IO_L19P_T3_33 3 33 4 0 HP NA AL18 IO_L19N_T3_VREF_33 3 33 4 0 HP NA AM20 IO_L20P_T3_33 3 33 4 0 HP NA AN19 IO_L20N_T3_33 3 33 4 0 HP NA AK17 IO_L21P_T3_DQS_33 3 33 4 0 HP NA AL17 IO_L21N_T3_DQS_33 3 33 4 0 HP NA AK20 IO_L22P_T3_33 3 33 4 0 HP NA AL20 IO_L22N_T3_33 3 33 4 0 HP NA AJ20 IO_L23P_T3_33 3 33 4 0 HP NA AJ19 IO_L23N_T3_33 3 33 4 0 HP NA AL19 IO_L24P_T3_33 3 33 4 0 HP NA AM19 IO_L24N_T3_33 3 33 4 0 HP NA AJ18 IO_25_VRP_33 NA 33 4 0 HP NA AW25 IO_0_VRN_34 NA 34 5 1 HP NA BB24 IO_L1P_T0_34 0 34 5 1 HP NA BC24 IO_L1N_T0_34 0 34 5 1 HP NA BA24 IO_L2P_T0_34 0 34 5 1 HP NA BB25 IO_L2N_T0_34 0 34 5 1 HP NA BD24 IO_L3P_T0_DQS_34 0 34 5 1 HP NA BD25 IO_L3N_T0_DQS_34 0 34 5 1 HP NA BB22 IO_L4P_T0_34 0 34 5 1 HP NA BC22 IO_L4N_T0_34 0 34 5 1 HP NA BC23 IO_L5P_T0_34 0 34 5 1 HP NA BD23 IO_L5N_T0_34 0 34 5 1 HP NA BA22 IO_L6P_T0_34 0 34 5 1 HP NA BA23 IO_L6N_T0_VREF_34 0 34 5 1 HP NA AV23 IO_L7P_T1_34 1 34 5 1 HP NA AW23 IO_L7N_T1_34 1 34 5 1 HP NA AY25 IO_L8P_T1_34 1 34 5 1 HP NA BA25 IO_L8N_T1_34 1 34 5 1 HP NA AU22 IO_L9P_T1_DQS_34 1 34 5 1 HP NA AV22 IO_L9N_T1_DQS_34 1 34 5 1 HP NA AY22 IO_L10P_T1_34 1 34 5 1 HP NA AY23 IO_L10N_T1_34 1 34 5 1 HP NA AU24 IO_L11P_T1_SRCC_34 1 34 5 1 HP NA AU25 IO_L11N_T1_SRCC_34 1 34 5 1 HP NA AV24 IO_L12P_T1_MRCC_34 1 34 5 1 HP NA AW24 IO_L12N_T1_MRCC_34 1 34 5 1 HP NA AT23 IO_L13P_T2_MRCC_34 2 34 5 1 HP NA AT24 IO_L13N_T2_MRCC_34 2 34 5 1 HP NA AP23 IO_L14P_T2_SRCC_34 2 34 5 1 HP NA AR23 IO_L14N_T2_SRCC_34 2 34 5 1 HP NA AR22 IO_L15P_T2_DQS_34 2 34 5 1 HP NA AT22 IO_L15N_T2_DQS_34 2 34 5 1 HP NA AR25 IO_L16P_T2_34 2 34 5 1 HP NA AT25 IO_L16N_T2_34 2 34 5 1 HP NA AN24 IO_L17P_T2_34 2 34 5 1 HP NA AP24 IO_L17N_T2_34 2 34 5 1 HP NA AN22 IO_L18P_T2_34 2 34 5 1 HP NA AN23 IO_L18N_T2_34 2 34 5 1 HP NA AL24 IO_L19P_T3_34 3 34 5 1 HP NA AM24 IO_L19N_T3_VREF_34 3 34 5 1 HP NA AK21 IO_L20P_T3_34 3 34 5 1 HP NA AK22 IO_L20N_T3_34 3 34 5 1 HP NA AM21 IO_L21P_T3_DQS_34 3 34 5 1 HP NA AN21 IO_L21N_T3_DQS_34 3 34 5 1 HP NA AK23 IO_L22P_T3_34 3 34 5 1 HP NA AL23 IO_L22N_T3_34 3 34 5 1 HP NA AL22 IO_L23P_T3_34 3 34 5 1 HP NA AM22 IO_L23N_T3_34 3 34 5 1 HP NA AJ23 IO_L24P_T3_34 3 34 5 1 HP NA AJ24 IO_L24N_T3_34 3 34 5 1 HP NA AJ21 IO_25_VRP_34 NA 34 5 1 HP NA AV29 IO_0_VRN_35 NA 35 5 1 HP NA BC26 IO_L1P_T0_AD4P_35 0 35 5 1 HP NA BD26 IO_L1N_T0_AD4N_35 0 35 5 1 HP NA BB29 IO_L2P_T0_AD12P_35 0 35 5 1 HP NA BC29 IO_L2N_T0_AD12N_35 0 35 5 1 HP NA BB26 IO_L3P_T0_DQS_AD5P_35 0 35 5 1 HP NA BB27 IO_L3N_T0_DQS_AD5N_35 0 35 5 1 HP NA BD28 IO_L4P_T0_35 0 35 5 1 HP NA BD29 IO_L4N_T0_35 0 35 5 1 HP NA BA27 IO_L5P_T0_AD13P_35 0 35 5 1 HP NA BA28 IO_L5N_T0_AD13N_35 0 35 5 1 HP NA BC27 IO_L6P_T0_35 0 35 5 1 HP NA BC28 IO_L6N_T0_VREF_35 0 35 5 1 HP NA AY28 IO_L7P_T1_AD6P_35 1 35 5 1 HP NA BA29 IO_L7N_T1_AD6N_35 1 35 5 1 HP NA AY26 IO_L8P_T1_AD14P_35 1 35 5 1 HP NA AY27 IO_L8N_T1_AD14N_35 1 35 5 1 HP NA AW28 IO_L9P_T1_DQS_AD7P_35 1 35 5 1 HP NA AW29 IO_L9N_T1_DQS_AD7N_35 1 35 5 1 HP NA AV26 IO_L10P_T1_AD15P_35 1 35 5 1 HP NA AW26 IO_L10N_T1_AD15N_35 1 35 5 1 HP NA AV27 IO_L11P_T1_SRCC_35 1 35 5 1 HP NA AV28 IO_L11N_T1_SRCC_35 1 35 5 1 HP NA AU26 IO_L12P_T1_MRCC_35 1 35 5 1 HP NA AU27 IO_L12N_T1_MRCC_35 1 35 5 1 HP NA AR26 IO_L13P_T2_MRCC_35 2 35 5 1 HP NA AR27 IO_L13N_T2_MRCC_35 2 35 5 1 HP NA AT27 IO_L14P_T2_SRCC_35 2 35 5 1 HP NA AT28 IO_L14N_T2_SRCC_35 2 35 5 1 HP NA AP28 IO_L15P_T2_DQS_35 2 35 5 1 HP NA AR28 IO_L15N_T2_DQS_35 2 35 5 1 HP NA AT29 IO_L16P_T2_35 2 35 5 1 HP NA AU29 IO_L16N_T2_35 2 35 5 1 HP NA AP25 IO_L17P_T2_35 2 35 5 1 HP NA AP26 IO_L17N_T2_35 2 35 5 1 HP NA AN27 IO_L18P_T2_35 2 35 5 1 HP NA AN28 IO_L18N_T2_35 2 35 5 1 HP NA AM26 IO_L19P_T3_35 3 35 5 1 HP NA AN26 IO_L19N_T3_VREF_35 3 35 5 1 HP NA AK27 IO_L20P_T3_35 3 35 5 1 HP NA AL28 IO_L20N_T3_35 3 35 5 1 HP NA AL25 IO_L21P_T3_DQS_35 3 35 5 1 HP NA AM25 IO_L21N_T3_DQS_35 3 35 5 1 HP NA AJ26 IO_L22P_T3_35 3 35 5 1 HP NA AK26 IO_L22N_T3_35 3 35 5 1 HP NA AL27 IO_L23P_T3_35 3 35 5 1 HP NA AM27 IO_L23N_T3_35 3 35 5 1 HP NA AJ25 IO_L24P_T3_35 3 35 5 1 HP NA AK25 IO_L24N_T3_35 3 35 5 1 HP NA AK28 IO_25_VRP_35 NA 35 5 1 HP NA AV33 IO_0_VRN_36 NA 36 5 1 HP NA BC33 IO_L1P_T0_36 0 36 5 1 HP NA BD33 IO_L1N_T0_36 0 36 5 1 HP NA BC31 IO_L2P_T0_36 0 36 5 1 HP NA BC32 IO_L2N_T0_36 0 36 5 1 HP NA BB31 IO_L3P_T0_DQS_36 0 36 5 1 HP NA BB32 IO_L3N_T0_DQS_36 0 36 5 1 HP NA BD30 IO_L4P_T0_36 0 36 5 1 HP NA BD31 IO_L4N_T0_36 0 36 5 1 HP NA BA32 IO_L5P_T0_36 0 36 5 1 HP NA BA33 IO_L5N_T0_36 0 36 5 1 HP NA BA30 IO_L6P_T0_36 0 36 5 1 HP NA BB30 IO_L6N_T0_VREF_36 0 36 5 1 HP NA AW33 IO_L7P_T1_36 1 36 5 1 HP NA AY33 IO_L7N_T1_36 1 36 5 1 HP NA AW30 IO_L8P_T1_36 1 36 5 1 HP NA AY30 IO_L8N_T1_36 1 36 5 1 HP NA AY31 IO_L9P_T1_DQS_36 1 36 5 1 HP NA AY32 IO_L9N_T1_DQS_36 1 36 5 1 HP NA AV31 IO_L10P_T1_36 1 36 5 1 HP NA AW31 IO_L10N_T1_36 1 36 5 1 HP NA AU32 IO_L11P_T1_SRCC_36 1 36 5 1 HP NA AV32 IO_L11N_T1_SRCC_36 1 36 5 1 HP NA AU30 IO_L12P_T1_MRCC_36 1 36 5 1 HP NA AU31 IO_L12N_T1_MRCC_36 1 36 5 1 HP NA AP31 IO_L13P_T2_MRCC_36 2 36 5 1 HP NA AR31 IO_L13N_T2_MRCC_36 2 36 5 1 HP NA AR32 IO_L14P_T2_SRCC_36 2 36 5 1 HP NA AT32 IO_L14N_T2_SRCC_36 2 36 5 1 HP NA AR33 IO_L15P_T2_DQS_36 2 36 5 1 HP NA AT33 IO_L15N_T2_DQS_36 2 36 5 1 HP NA AN33 IO_L16P_T2_36 2 36 5 1 HP NA AP33 IO_L16N_T2_36 2 36 5 1 HP NA AP29 IO_L17P_T2_36 2 36 5 1 HP NA AP30 IO_L17N_T2_36 2 36 5 1 HP NA AR30 IO_L18P_T2_36 2 36 5 1 HP NA AT30 IO_L18N_T2_36 2 36 5 1 HP NA AL32 IO_L19P_T3_36 3 36 5 1 HP NA AM32 IO_L19N_T3_VREF_36 3 36 5 1 HP NA AN31 IO_L20P_T3_36 3 36 5 1 HP NA AN32 IO_L20N_T3_36 3 36 5 1 HP NA AK30 IO_L21P_T3_DQS_36 3 36 5 1 HP NA AK31 IO_L21N_T3_DQS_36 3 36 5 1 HP NA AM30 IO_L22P_T3_36 3 36 5 1 HP NA AM31 IO_L22N_T3_36 3 36 5 1 HP NA AL29 IO_L23P_T3_36 3 36 5 1 HP NA AL30 IO_L23N_T3_36 3 36 5 1 HP NA AM29 IO_L24P_T3_36 3 36 5 1 HP NA AN29 IO_L24N_T3_36 3 36 5 1 HP NA AK32 IO_25_VRP_36 NA 36 5 1 HP NA T10 IO_0_VRN_37 NA 37 6 2 HP NA U7 IO_L1P_T0_37 0 37 6 2 HP NA U6 IO_L1N_T0_37 0 37 6 2 HP NA T9 IO_L2P_T0_37 0 37 6 2 HP NA R8 IO_L2N_T0_37 0 37 6 2 HP NA V8 IO_L3P_T0_DQS_37 0 37 6 2 HP NA V7 IO_L3N_T0_DQS_37 0 37 6 2 HP NA T8 IO_L4P_T0_37 0 37 6 2 HP NA T7 IO_L4N_T0_37 0 37 6 2 HP NA V10 IO_L5P_T0_37 0 37 6 2 HP NA V9 IO_L5N_T0_37 0 37 6 2 HP NA U10 IO_L6P_T0_37 0 37 6 2 HP NA U9 IO_L6N_T0_VREF_37 0 37 6 2 HP NA U2 IO_L7P_T1_37 1 37 6 2 HP NA U1 IO_L7N_T1_37 1 37 6 2 HP NA T5 IO_L8P_T1_37 1 37 6 2 HP NA T4 IO_L8N_T1_37 1 37 6 2 HP NA V2 IO_L9P_T1_DQS_37 1 37 6 2 HP NA V1 IO_L9N_T1_DQS_37 1 37 6 2 HP NA T3 IO_L10P_T1_37 1 37 6 2 HP NA T2 IO_L10N_T1_37 1 37 6 2 HP NA V4 IO_L11P_T1_SRCC_37 1 37 6 2 HP NA V3 IO_L11N_T1_SRCC_37 1 37 6 2 HP NA U5 IO_L12P_T1_MRCC_37 1 37 6 2 HP NA U4 IO_L12N_T1_MRCC_37 1 37 6 2 HP NA W4 IO_L13P_T2_MRCC_37 2 37 6 2 HP NA W3 IO_L13N_T2_MRCC_37 2 37 6 2 HP NA Y5 IO_L14P_T2_SRCC_37 2 37 6 2 HP NA W5 IO_L14N_T2_SRCC_37 2 37 6 2 HP NA Y3 IO_L15P_T2_DQS_37 2 37 6 2 HP NA Y2 IO_L15N_T2_DQS_37 2 37 6 2 HP NA Y1 IO_L16P_T2_37 2 37 6 2 HP NA W1 IO_L16N_T2_37 2 37 6 2 HP NA AA5 IO_L17P_T2_37 2 37 6 2 HP NA AA4 IO_L17N_T2_37 2 37 6 2 HP NA AA3 IO_L18P_T2_37 2 37 6 2 HP NA AA2 IO_L18N_T2_37 2 37 6 2 HP NA W10 IO_L19P_T3_37 3 37 6 2 HP NA W9 IO_L19N_T3_VREF_37 3 37 6 2 HP NA AA10 IO_L20P_T3_37 3 37 6 2 HP NA AA9 IO_L20N_T3_37 3 37 6 2 HP NA W6 IO_L21P_T3_DQS_37 3 37 6 2 HP NA V6 IO_L21N_T3_DQS_37 3 37 6 2 HP NA AA8 IO_L22P_T3_37 3 37 6 2 HP NA AA7 IO_L22N_T3_37 3 37 6 2 HP NA Y8 IO_L23P_T3_37 3 37 6 2 HP NA W8 IO_L23N_T3_37 3 37 6 2 HP NA Y7 IO_L24P_T3_37 3 37 6 2 HP NA Y6 IO_L24N_T3_37 3 37 6 2 HP NA Y10 IO_25_VRP_37 NA 37 6 2 HP NA L10 IO_0_VRN_38 NA 38 6 2 HP NA L9 IO_L1P_T0_38 0 38 6 2 HP NA K8 IO_L1N_T0_38 0 38 6 2 HP NA K7 IO_L2P_T0_38 0 38 6 2 HP NA K6 IO_L2N_T0_38 0 38 6 2 HP NA M7 IO_L3P_T0_DQS_38 0 38 6 2 HP NA M6 IO_L3N_T0_DQS_38 0 38 6 2 HP NA L5 IO_L4P_T0_38 0 38 6 2 HP NA K5 IO_L4N_T0_38 0 38 6 2 HP NA M10 IO_L5P_T0_38 0 38 6 2 HP NA M9 IO_L5N_T0_38 0 38 6 2 HP NA L8 IO_L6P_T0_38 0 38 6 2 HP NA L7 IO_L6N_T0_VREF_38 0 38 6 2 HP NA L2 IO_L7P_T1_38 1 38 6 2 HP NA K2 IO_L7N_T1_38 1 38 6 2 HP NA J5 IO_L8P_T1_38 1 38 6 2 HP NA J4 IO_L8N_T1_38 1 38 6 2 HP NA K1 IO_L9P_T1_DQS_38 1 38 6 2 HP NA J1 IO_L9N_T1_DQS_38 1 38 6 2 HP NA K3 IO_L10P_T1_38 1 38 6 2 HP NA J3 IO_L10N_T1_38 1 38 6 2 HP NA M5 IO_L11P_T1_SRCC_38 1 38 6 2 HP NA M4 IO_L11N_T1_SRCC_38 1 38 6 2 HP NA L4 IO_L12P_T1_MRCC_38 1 38 6 2 HP NA L3 IO_L12N_T1_MRCC_38 1 38 6 2 HP NA N4 IO_L13P_T2_MRCC_38 2 38 6 2 HP NA N3 IO_L13N_T2_MRCC_38 2 38 6 2 HP NA P4 IO_L14P_T2_SRCC_38 2 38 6 2 HP NA P3 IO_L14N_T2_SRCC_38 2 38 6 2 HP NA M2 IO_L15P_T2_DQS_38 2 38 6 2 HP NA M1 IO_L15N_T2_DQS_38 2 38 6 2 HP NA R1 IO_L16P_T2_38 2 38 6 2 HP NA P1 IO_L16N_T2_38 2 38 6 2 HP NA N2 IO_L17P_T2_38 2 38 6 2 HP NA N1 IO_L17N_T2_38 2 38 6 2 HP NA R3 IO_L18P_T2_38 2 38 6 2 HP NA R2 IO_L18N_T2_38 2 38 6 2 HP NA N9 IO_L19P_T3_38 3 38 6 2 HP NA N8 IO_L19N_T3_VREF_38 3 38 6 2 HP NA P6 IO_L20P_T3_38 3 38 6 2 HP NA N6 IO_L20N_T3_38 3 38 6 2 HP NA P8 IO_L21P_T3_DQS_38 3 38 6 2 HP NA N7 IO_L21N_T3_DQS_38 3 38 6 2 HP NA R5 IO_L22P_T3_38 3 38 6 2 HP NA P5 IO_L22N_T3_38 3 38 6 2 HP NA P10 IO_L23P_T3_38 3 38 6 2 HP NA P9 IO_L23N_T3_38 3 38 6 2 HP NA R7 IO_L24P_T3_38 3 38 6 2 HP NA R6 IO_L24N_T3_38 3 38 6 2 HP NA R10 IO_25_VRP_38 NA 38 6 2 HP NA J8 IO_0_VRN_39 NA 39 6 2 HP NA F8 IO_L1P_T0_39 0 39 6 2 HP NA E8 IO_L1N_T0_39 0 39 6 2 HP NA H8 IO_L2P_T0_39 0 39 6 2 HP NA H7 IO_L2N_T0_39 0 39 6 2 HP NA F7 IO_L3P_T0_DQS_39 0 39 6 2 HP NA E7 IO_L3N_T0_DQS_39 0 39 6 2 HP NA G7 IO_L4P_T0_39 0 39 6 2 HP NA G6 IO_L4N_T0_39 0 39 6 2 HP NA G5 IO_L5P_T0_39 0 39 6 2 HP NA F5 IO_L5N_T0_39 0 39 6 2 HP NA J6 IO_L6P_T0_39 0 39 6 2 HP NA H6 IO_L6N_T0_VREF_39 0 39 6 2 HP NA C7 IO_L7P_T1_39 1 39 6 2 HP NA C6 IO_L7N_T1_39 1 39 6 2 HP NA D8 IO_L8P_T1_39 1 39 6 2 HP NA C8 IO_L8N_T1_39 1 39 6 2 HP NA B6 IO_L9P_T1_DQS_39 1 39 6 2 HP NA B5 IO_L9N_T1_DQS_39 1 39 6 2 HP NA B7 IO_L10P_T1_39 1 39 6 2 HP NA A7 IO_L10N_T1_39 1 39 6 2 HP NA E5 IO_L11P_T1_SRCC_39 1 39 6 2 HP NA D5 IO_L11N_T1_SRCC_39 1 39 6 2 HP NA E6 IO_L12P_T1_MRCC_39 1 39 6 2 HP NA D6 IO_L12N_T1_MRCC_39 1 39 6 2 HP NA D3 IO_L13P_T2_MRCC_39 2 39 6 2 HP NA C3 IO_L13N_T2_MRCC_39 2 39 6 2 HP NA D4 IO_L14P_T2_SRCC_39 2 39 6 2 HP NA C4 IO_L14N_T2_SRCC_39 2 39 6 2 HP NA C2 IO_L15P_T2_DQS_39 2 39 6 2 HP NA B2 IO_L15N_T2_DQS_39 2 39 6 2 HP NA A5 IO_L16P_T2_39 2 39 6 2 HP NA A4 IO_L16N_T2_39 2 39 6 2 HP NA D1 IO_L17P_T2_39 2 39 6 2 HP NA C1 IO_L17N_T2_39 2 39 6 2 HP NA B4 IO_L18P_T2_39 2 39 6 2 HP NA A3 IO_L18N_T2_39 2 39 6 2 HP NA G4 IO_L19P_T3_39 3 39 6 2 HP NA F4 IO_L19N_T3_VREF_39 3 39 6 2 HP NA H3 IO_L20P_T3_39 3 39 6 2 HP NA H2 IO_L20N_T3_39 3 39 6 2 HP NA F3 IO_L21P_T3_DQS_39 3 39 6 2 HP NA E3 IO_L21N_T3_DQS_39 3 39 6 2 HP NA G2 IO_L22P_T3_39 3 39 6 2 HP NA F2 IO_L22N_T3_39 3 39 6 2 HP NA E2 IO_L23P_T3_39 3 39 6 2 HP NA E1 IO_L23N_T3_39 3 39 6 2 HP NA H1 IO_L24P_T3_39 3 39 6 2 HP NA G1 IO_L24N_T3_39 3 39 6 2 HP NA H4 IO_25_VRP_39 NA 39 6 2 HP NA M17 IO_0_VRN_40 NA 40 7 3 HP NA L17 IO_L1P_T0_40 0 40 7 3 HP NA K17 IO_L1N_T0_40 0 40 7 3 HP NA L18 IO_L2P_T0_40 0 40 7 3 HP NA K18 IO_L2N_T0_40 0 40 7 3 HP NA J18 IO_L3P_T0_DQS_40 0 40 7 3 HP NA H18 IO_L3N_T0_DQS_40 0 40 7 3 HP NA M20 IO_L4P_T0_40 0 40 7 3 HP NA L20 IO_L4N_T0_40 0 40 7 3 HP NA J19 IO_L5P_T0_40 0 40 7 3 HP NA H19 IO_L5N_T0_40 0 40 7 3 HP NA M19 IO_L6P_T0_40 0 40 7 3 HP NA L19 IO_L6N_T0_VREF_40 0 40 7 3 HP NA A19 IO_L7P_T1_40 1 40 7 3 HP NA A18 IO_L7N_T1_40 1 40 7 3 HP NA B20 IO_L8P_T1_40 1 40 7 3 HP NA A20 IO_L8N_T1_40 1 40 7 3 HP NA C19 IO_L9P_T1_DQS_40 1 40 7 3 HP NA B19 IO_L9N_T1_DQS_40 1 40 7 3 HP NA C21 IO_L10P_T1_40 1 40 7 3 HP NA B21 IO_L10N_T1_40 1 40 7 3 HP NA D18 IO_L11P_T1_SRCC_40 1 40 7 3 HP NA C18 IO_L11N_T1_SRCC_40 1 40 7 3 HP NA D20 IO_L12P_T1_MRCC_40 1 40 7 3 HP NA D19 IO_L12N_T1_MRCC_40 1 40 7 3 HP NA G19 IO_L13P_T2_MRCC_40 2 40 7 3 HP NA F19 IO_L13N_T2_MRCC_40 2 40 7 3 HP NA F20 IO_L14P_T2_SRCC_40 2 40 7 3 HP NA E20 IO_L14N_T2_SRCC_40 2 40 7 3 HP NA E21 IO_L15P_T2_DQS_40 2 40 7 3 HP NA D21 IO_L15N_T2_DQS_40 2 40 7 3 HP NA K20 IO_L16P_T2_40 2 40 7 3 HP NA J20 IO_L16N_T2_40 2 40 7 3 HP NA G21 IO_L17P_T2_40 2 40 7 3 HP NA G20 IO_L17N_T2_40 2 40 7 3 HP NA F18 IO_L18P_T2_40 2 40 7 3 HP NA E18 IO_L18N_T2_40 2 40 7 3 HP NA P19 IO_L19P_T3_40 3 40 7 3 HP NA N19 IO_L19N_T3_VREF_40 3 40 7 3 HP NA R20 IO_L20P_T3_40 3 40 7 3 HP NA P20 IO_L20N_T3_40 3 40 7 3 HP NA T20 IO_L21P_T3_DQS_40 3 40 7 3 HP NA T19 IO_L21N_T3_DQS_40 3 40 7 3 HP NA T18 IO_L22P_T3_40 3 40 7 3 HP NA R18 IO_L22N_T3_40 3 40 7 3 HP NA T17 IO_L23P_T3_40 3 40 7 3 HP NA R17 IO_L23N_T3_40 3 40 7 3 HP NA P18 IO_L24P_T3_40 3 40 7 3 HP NA N18 IO_L24N_T3_40 3 40 7 3 HP NA N17 IO_25_VRP_40 NA 40 7 3 HP NA L15 IO_0_VRN_41 NA 41 7 3 HP NA K15 IO_L1P_T0_41 0 41 7 3 HP NA J15 IO_L1N_T0_41 0 41 7 3 HP NA J14 IO_L2P_T0_41 0 41 7 3 HP NA H14 IO_L2N_T0_41 0 41 7 3 HP NA H16 IO_L3P_T0_DQS_41 0 41 7 3 HP NA G16 IO_L3N_T0_DQS_41 0 41 7 3 HP NA G15 IO_L4P_T0_41 0 41 7 3 HP NA G14 IO_L4N_T0_41 0 41 7 3 HP NA K16 IO_L5P_T0_41 0 41 7 3 HP NA J16 IO_L5N_T0_41 0 41 7 3 HP NA H17 IO_L6P_T0_41 0 41 7 3 HP NA G17 IO_L6N_T0_VREF_41 0 41 7 3 HP NA F14 IO_L7P_T1_41 1 41 7 3 HP NA F13 IO_L7N_T1_41 1 41 7 3 HP NA B14 IO_L8P_T1_41 1 41 7 3 HP NA A13 IO_L8N_T1_41 1 41 7 3 HP NA E13 IO_L9P_T1_DQS_41 1 41 7 3 HP NA D13 IO_L9N_T1_DQS_41 1 41 7 3 HP NA A15 IO_L10P_T1_41 1 41 7 3 HP NA A14 IO_L10N_T1_41 1 41 7 3 HP NA C14 IO_L11P_T1_SRCC_41 1 41 7 3 HP NA C13 IO_L11N_T1_SRCC_41 1 41 7 3 HP NA D15 IO_L12P_T1_MRCC_41 1 41 7 3 HP NA D14 IO_L12N_T1_MRCC_41 1 41 7 3 HP NA F15 IO_L13P_T2_MRCC_41 2 41 7 3 HP NA E15 IO_L13N_T2_MRCC_41 2 41 7 3 HP NA E16 IO_L14P_T2_SRCC_41 2 41 7 3 HP NA D16 IO_L14N_T2_SRCC_41 2 41 7 3 HP NA F17 IO_L15P_T2_DQS_41 2 41 7 3 HP NA E17 IO_L15N_T2_DQS_41 2 41 7 3 HP NA B16 IO_L16P_T2_41 2 41 7 3 HP NA B15 IO_L16N_T2_41 2 41 7 3 HP NA B17 IO_L17P_T2_41 2 41 7 3 HP NA A17 IO_L17N_T2_41 2 41 7 3 HP NA C17 IO_L18P_T2_41 2 41 7 3 HP NA C16 IO_L18N_T2_41 2 41 7 3 HP NA R16 IO_L19P_T3_41 3 41 7 3 HP NA P16 IO_L19N_T3_VREF_41 3 41 7 3 HP NA M15 IO_L20P_T3_41 3 41 7 3 HP NA L14 IO_L20N_T3_41 3 41 7 3 HP NA N16 IO_L21P_T3_DQS_41 3 41 7 3 HP NA M16 IO_L21N_T3_DQS_41 3 41 7 3 HP NA P15 IO_L22P_T3_41 3 41 7 3 HP NA P14 IO_L22N_T3_41 3 41 7 3 HP NA T15 IO_L23P_T3_41 3 41 7 3 HP NA R15 IO_L23N_T3_41 3 41 7 3 HP NA N14 IO_L24P_T3_41 3 41 7 3 HP NA M14 IO_L24N_T3_41 3 41 7 3 HP NA T14 IO_25_VRP_41 NA 41 7 3 HP NA L13 IO_0_VRN_42 NA 42 7 3 HP NA P13 IO_L1P_T0_42 0 42 7 3 HP NA N13 IO_L1N_T0_42 0 42 7 3 HP NA T13 IO_L2P_T0_42 0 42 7 3 HP NA R13 IO_L2N_T0_42 0 42 7 3 HP NA N11 IO_L3P_T0_DQS_42 0 42 7 3 HP NA M11 IO_L3N_T0_DQS_42 0 42 7 3 HP NA T12 IO_L4P_T0_42 0 42 7 3 HP NA R12 IO_L4N_T0_42 0 42 7 3 HP NA N12 IO_L5P_T0_42 0 42 7 3 HP NA M12 IO_L5N_T0_42 0 42 7 3 HP NA R11 IO_L6P_T0_42 0 42 7 3 HP NA P11 IO_L6N_T0_VREF_42 0 42 7 3 HP NA B9 IO_L7P_T1_42 1 42 7 3 HP NA A8 IO_L7N_T1_42 1 42 7 3 HP NA B12 IO_L8P_T1_42 1 42 7 3 HP NA A12 IO_L8N_T1_42 1 42 7 3 HP NA B11 IO_L9P_T1_DQS_42 1 42 7 3 HP NA B10 IO_L9N_T1_DQS_42 1 42 7 3 HP NA A10 IO_L10P_T1_42 1 42 7 3 HP NA A9 IO_L10N_T1_42 1 42 7 3 HP NA C12 IO_L11P_T1_SRCC_42 1 42 7 3 HP NA C11 IO_L11N_T1_SRCC_42 1 42 7 3 HP NA D9 IO_L12P_T1_MRCC_42 1 42 7 3 HP NA C9 IO_L12N_T1_MRCC_42 1 42 7 3 HP NA E11 IO_L13P_T2_MRCC_42 2 42 7 3 HP NA D11 IO_L13N_T2_MRCC_42 2 42 7 3 HP NA E10 IO_L14P_T2_SRCC_42 2 42 7 3 HP NA D10 IO_L14N_T2_SRCC_42 2 42 7 3 HP NA F12 IO_L15P_T2_DQS_42 2 42 7 3 HP NA E12 IO_L15N_T2_DQS_42 2 42 7 3 HP NA G10 IO_L16P_T2_42 2 42 7 3 HP NA F10 IO_L16N_T2_42 2 42 7 3 HP NA H12 IO_L17P_T2_42 2 42 7 3 HP NA G12 IO_L17N_T2_42 2 42 7 3 HP NA H11 IO_L18P_T2_42 2 42 7 3 HP NA G11 IO_L18N_T2_42 2 42 7 3 HP NA J13 IO_L19P_T3_42 3 42 7 3 HP NA H13 IO_L19N_T3_VREF_42 3 42 7 3 HP NA K10 IO_L20P_T3_42 3 42 7 3 HP NA J10 IO_L20N_T3_42 3 42 7 3 HP NA L12 IO_L21P_T3_DQS_42 3 42 7 3 HP NA K12 IO_L21N_T3_DQS_42 3 42 7 3 HP NA G9 IO_L22P_T3_42 3 42 7 3 HP NA F9 IO_L22N_T3_42 3 42 7 3 HP NA K11 IO_L23P_T3_42 3 42 7 3 HP NA J11 IO_L23N_T3_42 3 42 7 3 HP NA J9 IO_L24P_T3_42 3 42 7 3 HP NA H9 IO_L24N_T3_42 3 42 7 3 HP NA K13 IO_25_VRP_42 NA 42 7 3 HP NA AY2 MGTXTXP3_112 NA 112 NA 0 GTX NA AW4 MGTXRXP3_112 NA 112 NA 0 GTX NA AY1 MGTXTXN3_112 NA 112 NA 0 GTX NA AW3 MGTXRXN3_112 NA 112 NA 0 GTX NA BA4 MGTXTXP2_112 NA 112 NA 0 GTX NA AY6 MGTXRXP2_112 NA 112 NA 0 GTX NA BA3 MGTXTXN2_112 NA 112 NA 0 GTX NA AW8 MGTREFCLK0P_112 NA 112 NA 0 GTX NA AY5 MGTXRXN2_112 NA 112 NA 0 GTX NA BC8 MGTAVTTRCAL_112 NA 112 NA 0 GTX NA AW7 MGTREFCLK0N_112 NA 112 NA 0 GTX NA BC7 MGTRREF_112 NA 112 NA 0 GTX NA BA7 MGTREFCLK1N_112 NA 112 NA 0 GTX NA BA8 MGTREFCLK1P_112 NA 112 NA 0 GTX NA BB2 MGTXTXP1_112 NA 112 NA 0 GTX NA BB6 MGTXRXP1_112 NA 112 NA 0 GTX NA BB1 MGTXTXN1_112 NA 112 NA 0 GTX NA BB5 MGTXRXN1_112 NA 112 NA 0 GTX NA BC4 MGTXTXP0_112 NA 112 NA 0 GTX NA BD6 MGTXRXP0_112 NA 112 NA 0 GTX NA BC3 MGTXTXN0_112 NA 112 NA 0 GTX NA BD5 MGTXRXN0_112 NA 112 NA 0 GTX NA AP2 MGTXTXP3_113 NA 113 NA 0 GTX NA AP6 MGTXRXP3_113 NA 113 NA 0 GTX NA AP1 MGTXTXN3_113 NA 113 NA 0 GTX NA AP5 MGTXRXN3_113 NA 113 NA 0 GTX NA AT2 MGTXTXP2_113 NA 113 NA 0 GTX NA AR4 MGTXRXP2_113 NA 113 NA 0 GTX NA AT1 MGTXTXN2_113 NA 113 NA 0 GTX NA AR8 MGTREFCLK0P_113 NA 113 NA 0 GTX NA AR3 MGTXRXN2_113 NA 113 NA 0 GTX NA AR7 MGTREFCLK0N_113 NA 113 NA 0 GTX NA AU7 MGTREFCLK1N_113 NA 113 NA 0 GTX NA AU8 MGTREFCLK1P_113 NA 113 NA 0 GTX NA AU4 MGTXTXP1_113 NA 113 NA 0 GTX NA AT6 MGTXRXP1_113 NA 113 NA 0 GTX NA AU3 MGTXTXN1_113 NA 113 NA 0 GTX NA AT5 MGTXRXN1_113 NA 113 NA 0 GTX NA AV2 MGTXTXP0_113 NA 113 NA 0 GTX NA AV6 MGTXRXP0_113 NA 113 NA 0 GTX NA AV1 MGTXTXN0_113 NA 113 NA 0 GTX NA AV5 MGTXRXN0_113 NA 113 NA 0 GTX NA AH2 MGTXTXP3_114 NA 114 NA 1 GTX NA AJ4 MGTXRXP3_114 NA 114 NA 1 GTX NA AH1 MGTXTXN3_114 NA 114 NA 1 GTX NA AJ3 MGTXRXN3_114 NA 114 NA 1 GTX NA AK2 MGTXTXP2_114 NA 114 NA 1 GTX NA AK6 MGTXRXP2_114 NA 114 NA 1 GTX NA AK1 MGTXTXN2_114 NA 114 NA 1 GTX NA AL8 MGTREFCLK0P_114 NA 114 NA 1 GTX NA AK5 MGTXRXN2_114 NA 114 NA 1 GTX NA AL7 MGTREFCLK0N_114 NA 114 NA 1 GTX NA AN7 MGTREFCLK1N_114 NA 114 NA 1 GTX NA AN8 MGTREFCLK1P_114 NA 114 NA 1 GTX NA AL4 MGTXTXP1_114 NA 114 NA 1 GTX NA AM6 MGTXRXP1_114 NA 114 NA 1 GTX NA AL3 MGTXTXN1_114 NA 114 NA 1 GTX NA AM5 MGTXRXN1_114 NA 114 NA 1 GTX NA AM2 MGTXTXP0_114 NA 114 NA 1 GTX NA AN4 MGTXRXP0_114 NA 114 NA 1 GTX NA AM1 MGTXTXN0_114 NA 114 NA 1 GTX NA AN3 MGTXRXN0_114 NA 114 NA 1 GTX NA AD2 MGTXTXP3_115 NA 115 NA 1 GTX NA AD6 MGTXRXP3_115 NA 115 NA 1 GTX NA AD1 MGTXTXN3_115 NA 115 NA 1 GTX NA AD5 MGTXRXN3_115 NA 115 NA 1 GTX NA AE4 MGTXTXP2_115 NA 115 NA 1 GTX NA AE8 MGTXRXP2_115 NA 115 NA 1 GTX NA AE3 MGTXTXN2_115 NA 115 NA 1 GTX NA AG8 MGTREFCLK0P_115 NA 115 NA 1 GTX NA AE7 MGTXRXN2_115 NA 115 NA 1 GTX NA AF10 MGTAVTTRCAL_115 NA 115 NA 1 GTX NA AG7 MGTREFCLK0N_115 NA 115 NA 1 GTX NA AF9 MGTRREF_115 NA 115 NA 1 GTX NA AJ7 MGTREFCLK1N_115 NA 115 NA 1 GTX NA AJ8 MGTREFCLK1P_115 NA 115 NA 1 GTX NA AF2 MGTXTXP1_115 NA 115 NA 1 GTX NA AF6 MGTXRXP1_115 NA 115 NA 1 GTX NA AF1 MGTXTXN1_115 NA 115 NA 1 GTX NA AF5 MGTXRXN1_115 NA 115 NA 1 GTX NA AG4 MGTXTXP0_115 NA 115 NA 1 GTX NA AH6 MGTXRXP0_115 NA 115 NA 1 GTX NA AG3 MGTXTXN0_115 NA 115 NA 1 GTX NA AH5 MGTXRXN0_115 NA 115 NA 1 GTX NA AM8 MGTVCCAUX_G11 NA NA NA NA NA NA AP8 MGTVCCAUX_G10 NA NA NA NA NA NA AD7 MGTAVCC_G11 NA NA NA NA NA NA AF8 MGTAVCC_G11 NA NA NA NA NA NA AH8 MGTAVCC_G11 NA NA NA NA NA NA AK8 MGTAVCC_G11 NA NA NA NA NA NA AT8 MGTAVCC_G10 NA NA NA NA NA NA AV8 MGTAVCC_G10 NA NA NA NA NA NA AY8 MGTAVCC_G10 NA NA NA NA NA NA BB8 MGTAVCC_G10 NA NA NA NA NA NA AE5 MGTAVTT_G11 NA NA NA NA NA NA AF3 MGTAVTT_G11 NA NA NA NA NA NA AJ5 MGTAVTT_G11 NA NA NA NA NA NA AK3 MGTAVTT_G11 NA NA NA NA NA NA AN5 MGTAVTT_G11 NA NA NA NA NA NA AP3 MGTAVTT_G10 NA NA NA NA NA NA AU5 MGTAVTT_G10 NA NA NA NA NA NA AV3 MGTAVTT_G10 NA NA NA NA NA NA BA5 MGTAVTT_G10 NA NA NA NA NA NA BB3 MGTAVTT_G10 NA NA NA NA NA NA A11 GND NA NA NA NA NA NA A21 GND NA NA NA NA NA NA A31 GND NA NA NA NA NA NA A41 GND NA NA NA NA NA NA AA1 GND NA NA NA NA NA NA AA11 GND NA NA NA NA NA NA AA13 GND NA NA NA NA NA NA AA15 GND NA NA NA NA NA NA AA17 GND NA NA NA NA NA NA AA19 GND NA NA NA NA NA NA AA23 GND NA NA NA NA NA NA AA25 GND NA NA NA NA NA NA AA27 GND NA NA NA NA NA NA AA31 GND NA NA NA NA NA NA AA41 GND NA NA NA NA NA NA AB12 GND NA NA NA NA NA NA AB14 GND NA NA NA NA NA NA AB16 GND NA NA NA NA NA NA AB18 GND NA NA NA NA NA NA AB22 GND NA NA NA NA NA NA AB24 GND NA NA NA NA NA NA AB26 GND NA NA NA NA NA NA AB38 GND NA NA NA NA NA NA AB8 GND NA NA NA NA NA NA AC1 GND NA NA NA NA NA NA AC11 GND NA NA NA NA NA NA AC13 GND NA NA NA NA NA NA AC15 GND NA NA NA NA NA NA AC17 GND NA NA NA NA NA NA AC19 GND NA NA NA NA NA NA AC2 GND NA NA NA NA NA NA AC23 GND NA NA NA NA NA NA AC25 GND NA NA NA NA NA NA AC27 GND NA NA NA NA NA NA AC3 GND NA NA NA NA NA NA AC35 GND NA NA NA NA NA NA AC4 GND NA NA NA NA NA NA AC5 GND NA NA NA NA NA NA AC6 GND NA NA NA NA NA NA AC7 GND NA NA NA NA NA NA AC9 GND NA NA NA NA NA NA AD12 GND NA NA NA NA NA NA AD14 GND NA NA NA NA NA NA AD16 GND NA NA NA NA NA NA AD18 GND NA NA NA NA NA NA AD22 GND NA NA NA NA NA NA AD24 GND NA NA NA NA NA NA AD26 GND NA NA NA NA NA NA AD28 GND NA NA NA NA NA NA AD3 GND NA NA NA NA NA NA AD32 GND NA NA NA NA NA NA AD4 GND NA NA NA NA NA NA AD42 GND NA NA NA NA NA NA AD8 GND NA NA NA NA NA NA AD9 GND NA NA NA NA NA NA AE1 GND NA NA NA NA NA NA AE10 GND NA NA NA NA NA NA AE11 GND NA NA NA NA NA NA AE13 GND NA NA NA NA NA NA AE15 GND NA NA NA NA NA NA AE17 GND NA NA NA NA NA NA AE19 GND NA NA NA NA NA NA AE2 GND NA NA NA NA NA NA AE21 GND NA NA NA NA NA NA AE23 GND NA NA NA NA NA NA AE25 GND NA NA NA NA NA NA AE27 GND NA NA NA NA NA NA AE29 GND NA NA NA NA NA NA AE39 GND NA NA NA NA NA NA AE6 GND NA NA NA NA NA NA AE9 GND NA NA NA NA NA NA AF12 GND NA NA NA NA NA NA AF14 GND NA NA NA NA NA NA AF16 GND NA NA NA NA NA NA AF18 GND NA NA NA NA NA NA AF20 GND NA NA NA NA NA NA AF22 GND NA NA NA NA NA NA AF24 GND NA NA NA NA NA NA AF26 GND NA NA NA NA NA NA AF28 GND NA NA NA NA NA NA AF36 GND NA NA NA NA NA NA AF4 GND NA NA NA NA NA NA AF7 GND NA NA NA NA NA NA AG1 GND NA NA NA NA NA NA AG13 GND NA NA NA NA NA NA AG15 GND NA NA NA NA NA NA AG17 GND NA NA NA NA NA NA AG19 GND NA NA NA NA NA NA AG2 GND NA NA NA NA NA NA AG21 GND NA NA NA NA NA NA AG23 GND NA NA NA NA NA NA AG25 GND NA NA NA NA NA NA AG27 GND NA NA NA NA NA NA AG33 GND NA NA NA NA NA NA AG43 GND NA NA NA NA NA NA AG5 GND NA NA NA NA NA NA AG6 GND NA NA NA NA NA NA AG9 GND NA NA NA NA NA NA AH10 GND NA NA NA NA NA NA AH12 GND NA NA NA NA NA NA AH14 GND NA NA NA NA NA NA AH16 GND NA NA NA NA NA NA AH18 GND NA NA NA NA NA NA AH20 GND NA NA NA NA NA NA AH22 GND NA NA NA NA NA NA AH24 GND NA NA NA NA NA NA AH26 GND NA NA NA NA NA NA AH3 GND NA NA NA NA NA NA AH30 GND NA NA NA NA NA NA AH4 GND NA NA NA NA NA NA AH40 GND NA NA NA NA NA NA AH7 GND NA NA NA NA NA NA AH9 GND NA NA NA NA NA NA AJ1 GND NA NA NA NA NA NA AJ17 GND NA NA NA NA NA NA AJ2 GND NA NA NA NA NA NA AJ27 GND NA NA NA NA NA NA AJ37 GND NA NA NA NA NA NA AJ6 GND NA NA NA NA NA NA AJ9 GND NA NA NA NA NA NA AK14 GND NA NA NA NA NA NA AK24 GND NA NA NA NA NA NA AK34 GND NA NA NA NA NA NA AK4 GND NA NA NA NA NA NA AK44 GND NA NA NA NA NA NA AK7 GND NA NA NA NA NA NA AK9 GND NA NA NA NA NA NA AL1 GND NA NA NA NA NA NA AL11 GND NA NA NA NA NA NA AL2 GND NA NA NA NA NA NA AL21 GND NA NA NA NA NA NA AL31 GND NA NA NA NA NA NA AL41 GND NA NA NA NA NA NA AL5 GND NA NA NA NA NA NA AL6 GND NA NA NA NA NA NA AL9 GND NA NA NA NA NA NA AM18 GND NA NA NA NA NA NA AM28 GND NA NA NA NA NA NA AM3 GND NA NA NA NA NA NA AM38 GND NA NA NA NA NA NA AM4 GND NA NA NA NA NA NA AM7 GND NA NA NA NA NA NA AM9 GND NA NA NA NA NA NA AN1 GND NA NA NA NA NA NA AN15 GND NA NA NA NA NA NA AN2 GND NA NA NA NA NA NA AN25 GND NA NA NA NA NA NA AN35 GND NA NA NA NA NA NA AN6 GND NA NA NA NA NA NA AN9 GND NA NA NA NA NA NA AP12 GND NA NA NA NA NA NA AP22 GND NA NA NA NA NA NA AP32 GND NA NA NA NA NA NA AP4 GND NA NA NA NA NA NA AP42 GND NA NA NA NA NA NA AP7 GND NA NA NA NA NA NA AP9 GND NA NA NA NA NA NA AR1 GND NA NA NA NA NA NA AR19 GND NA NA NA NA NA NA AR2 GND NA NA NA NA NA NA AR29 GND NA NA NA NA NA NA AR39 GND NA NA NA NA NA NA AR5 GND NA NA NA NA NA NA AR6 GND NA NA NA NA NA NA AR9 GND NA NA NA NA NA NA AT16 GND NA NA NA NA NA NA AT26 GND NA NA NA NA NA NA AT3 GND NA NA NA NA NA NA AT36 GND NA NA NA NA NA NA AT4 GND NA NA NA NA NA NA AT7 GND NA NA NA NA NA NA AT9 GND NA NA NA NA NA NA AU1 GND NA NA NA NA NA NA AU13 GND NA NA NA NA NA NA AU2 GND NA NA NA NA NA NA AU23 GND NA NA NA NA NA NA AU33 GND NA NA NA NA NA NA AU43 GND NA NA NA NA NA NA AU6 GND NA NA NA NA NA NA AU9 GND NA NA NA NA NA NA AV10 GND NA NA NA NA NA NA AV20 GND NA NA NA NA NA NA AV30 GND NA NA NA NA NA NA AV4 GND NA NA NA NA NA NA AV40 GND NA NA NA NA NA NA AV7 GND NA NA NA NA NA NA AV9 GND NA NA NA NA NA NA AW1 GND NA NA NA NA NA NA AW17 GND NA NA NA NA NA NA AW2 GND NA NA NA NA NA NA AW27 GND NA NA NA NA NA NA AW37 GND NA NA NA NA NA NA AW5 GND NA NA NA NA NA NA AW6 GND NA NA NA NA NA NA AW9 GND NA NA NA NA NA NA AY14 GND NA NA NA NA NA NA AY24 GND NA NA NA NA NA NA AY3 GND NA NA NA NA NA NA AY34 GND NA NA NA NA NA NA AY4 GND NA NA NA NA NA NA AY44 GND NA NA NA NA NA NA AY7 GND NA NA NA NA NA NA AY9 GND NA NA NA NA NA NA B18 GND NA NA NA NA NA NA B28 GND NA NA NA NA NA NA B38 GND NA NA NA NA NA NA B8 GND NA NA NA NA NA NA BA1 GND NA NA NA NA NA NA BA11 GND NA NA NA NA NA NA BA2 GND NA NA NA NA NA NA BA21 GND NA NA NA NA NA NA BA31 GND NA NA NA NA NA NA BA41 GND NA NA NA NA NA NA BA6 GND NA NA NA NA NA NA BA9 GND NA NA NA NA NA NA BB18 GND NA NA NA NA NA NA BB28 GND NA NA NA NA NA NA BB38 GND NA NA NA NA NA NA BB4 GND NA NA NA NA NA NA BB7 GND NA NA NA NA NA NA BB9 GND NA NA NA NA NA NA BC15 GND NA NA NA NA NA NA BC2 GND NA NA NA NA NA NA BC25 GND NA NA NA NA NA NA BC35 GND NA NA NA NA NA NA BC5 GND NA NA NA NA NA NA BC6 GND NA NA NA NA NA NA BC9 GND NA NA NA NA NA NA BD12 GND NA NA NA NA NA NA BD22 GND NA NA NA NA NA NA BD3 GND NA NA NA NA NA NA BD32 GND NA NA NA NA NA NA BD4 GND NA NA NA NA NA NA BD42 GND NA NA NA NA NA NA BD7 GND NA NA NA NA NA NA BD8 GND NA NA NA NA NA NA BD9 GND NA NA NA NA NA NA C15 GND NA NA NA NA NA NA C25 GND NA NA NA NA NA NA C35 GND NA NA NA NA NA NA C5 GND NA NA NA NA NA NA D12 GND NA NA NA NA NA NA D2 GND NA NA NA NA NA NA D22 GND NA NA NA NA NA NA D32 GND NA NA NA NA NA NA D42 GND NA NA NA NA NA NA E19 GND NA NA NA NA NA NA E29 GND NA NA NA NA NA NA E39 GND NA NA NA NA NA NA E9 GND NA NA NA NA NA NA F16 GND NA NA NA NA NA NA F26 GND NA NA NA NA NA NA F36 GND NA NA NA NA NA NA F6 GND NA NA NA NA NA NA G13 GND NA NA NA NA NA NA G23 GND NA NA NA NA NA NA G3 GND NA NA NA NA NA NA G33 GND NA NA NA NA NA NA G43 GND NA NA NA NA NA NA H10 GND NA NA NA NA NA NA H20 GND NA NA NA NA NA NA H30 GND NA NA NA NA NA NA H40 GND NA NA NA NA NA NA J17 GND NA NA NA NA NA NA J27 GND NA NA NA NA NA NA J37 GND NA NA NA NA NA NA J7 GND NA NA NA NA NA NA K14 GND NA NA NA NA NA NA K24 GND NA NA NA NA NA NA K34 GND NA NA NA NA NA NA K4 GND NA NA NA NA NA NA K44 GND NA NA NA NA NA NA L1 GND NA NA NA NA NA NA L11 GND NA NA NA NA NA NA L21 GND NA NA NA NA NA NA L31 GND NA NA NA NA NA NA L41 GND NA NA NA NA NA NA M18 GND NA NA NA NA NA NA M28 GND NA NA NA NA NA NA M38 GND NA NA NA NA NA NA M8 GND NA NA NA NA NA NA N15 GND NA NA NA NA NA NA N25 GND NA NA NA NA NA NA N35 GND NA NA NA NA NA NA N5 GND NA NA NA NA NA NA P12 GND NA NA NA NA NA NA P2 GND NA NA NA NA NA NA P22 GND NA NA NA NA NA NA P32 GND NA NA NA NA NA NA P42 GND NA NA NA NA NA NA R19 GND NA NA NA NA NA NA R29 GND NA NA NA NA NA NA R39 GND NA NA NA NA NA NA R9 GND NA NA NA NA NA NA T16 GND NA NA NA NA NA NA T26 GND NA NA NA NA NA NA T36 GND NA NA NA NA NA NA T6 GND NA NA NA NA NA NA U11 GND NA NA NA NA NA NA U13 GND NA NA NA NA NA NA U15 GND NA NA NA NA NA NA U17 GND NA NA NA NA NA NA U19 GND NA NA NA NA NA NA U21 GND NA NA NA NA NA NA U23 GND NA NA NA NA NA NA U25 GND NA NA NA NA NA NA U27 GND NA NA NA NA NA NA U3 GND NA NA NA NA NA NA U33 GND NA NA NA NA NA NA U43 GND NA NA NA NA NA NA V12 GND NA NA NA NA NA NA V14 GND NA NA NA NA NA NA V16 GND NA NA NA NA NA NA V18 GND NA NA NA NA NA NA V20 GND NA NA NA NA NA NA V22 GND NA NA NA NA NA NA V24 GND NA NA NA NA NA NA V26 GND NA NA NA NA NA NA V28 GND NA NA NA NA NA NA V30 GND NA NA NA NA NA NA V40 GND NA NA NA NA NA NA W11 GND NA NA NA NA NA NA W13 GND NA NA NA NA NA NA W15 GND NA NA NA NA NA NA W17 GND NA NA NA NA NA NA W19 GND NA NA NA NA NA NA W21 GND NA NA NA NA NA NA W23 GND NA NA NA NA NA NA W25 GND NA NA NA NA NA NA W27 GND NA NA NA NA NA NA W37 GND NA NA NA NA NA NA W7 GND NA NA NA NA NA NA Y12 GND NA NA NA NA NA NA Y14 GND NA NA NA NA NA NA Y16 GND NA NA NA NA NA NA Y18 GND NA NA NA NA NA NA Y20 GND NA NA NA NA NA NA Y22 GND NA NA NA NA NA NA Y24 GND NA NA NA NA NA NA Y26 GND NA NA NA NA NA NA Y28 GND NA NA NA NA NA NA Y34 GND NA NA NA NA NA NA Y4 GND NA NA NA NA NA NA Y44 GND NA NA NA NA NA NA AA12 VCCINT NA NA NA NA NA NA AA14 VCCINT NA NA NA NA NA NA AA18 VCCINT NA NA NA NA NA NA AA24 VCCINT NA NA NA NA NA NA AB11 VCCINT NA NA NA NA NA NA AB13 VCCINT NA NA NA NA NA NA AB19 VCCINT NA NA NA NA NA NA AB23 VCCINT NA NA NA NA NA NA AB25 VCCINT NA NA NA NA NA NA AC12 VCCINT NA NA NA NA NA NA AC14 VCCINT NA NA NA NA NA NA AC18 VCCINT NA NA NA NA NA NA AC24 VCCINT NA NA NA NA NA NA AD11 VCCINT NA NA NA NA NA NA AD13 VCCINT NA NA NA NA NA NA AD19 VCCINT NA NA NA NA NA NA AD23 VCCINT NA NA NA NA NA NA AD25 VCCINT NA NA NA NA NA NA AE12 VCCINT NA NA NA NA NA NA AE14 VCCINT NA NA NA NA NA NA AE18 VCCINT NA NA NA NA NA NA AE20 VCCINT NA NA NA NA NA NA AE24 VCCINT NA NA NA NA NA NA AF11 VCCINT NA NA NA NA NA NA AF13 VCCINT NA NA NA NA NA NA AF19 VCCINT NA NA NA NA NA NA AF23 VCCINT NA NA NA NA NA NA AF25 VCCINT NA NA NA NA NA NA AG12 VCCINT NA NA NA NA NA NA AG14 VCCINT NA NA NA NA NA NA AG18 VCCINT NA NA NA NA NA NA AG20 VCCINT NA NA NA NA NA NA AG24 VCCINT NA NA NA NA NA NA AH13 VCCINT NA NA NA NA NA NA AH19 VCCINT NA NA NA NA NA NA AH23 VCCINT NA NA NA NA NA NA AH25 VCCINT NA NA NA NA NA NA U12 VCCINT NA NA NA NA NA NA U14 VCCINT NA NA NA NA NA NA U18 VCCINT NA NA NA NA NA NA U20 VCCINT NA NA NA NA NA NA U24 VCCINT NA NA NA NA NA NA V11 VCCINT NA NA NA NA NA NA V13 VCCINT NA NA NA NA NA NA V19 VCCINT NA NA NA NA NA NA V23 VCCINT NA NA NA NA NA NA V25 VCCINT NA NA NA NA NA NA W12 VCCINT NA NA NA NA NA NA W14 VCCINT NA NA NA NA NA NA W18 VCCINT NA NA NA NA NA NA W20 VCCINT NA NA NA NA NA NA W24 VCCINT NA NA NA NA NA NA Y11 VCCINT NA NA NA NA NA NA Y13 VCCINT NA NA NA NA NA NA Y19 VCCINT NA NA NA NA NA NA Y23 VCCINT NA NA NA NA NA NA Y25 VCCINT NA NA NA NA NA NA AA28 VCCAUX NA NA NA NA NA NA AB15 VCCAUX NA NA NA NA NA NA AC28 VCCAUX NA NA NA NA NA NA AD15 VCCAUX NA NA NA NA NA NA AE28 VCCAUX NA NA NA NA NA NA AF15 VCCAUX NA NA NA NA NA NA AG28 VCCAUX NA NA NA NA NA NA AH15 VCCAUX NA NA NA NA NA NA U28 VCCAUX NA NA NA NA NA NA V15 VCCAUX NA NA NA NA NA NA W28 VCCAUX NA NA NA NA NA NA Y15 VCCAUX NA NA NA NA NA NA AH27 VCCAUX_IO_G0 NA NA NA NA NA NA AG26 VCCAUX_IO_G0 NA NA NA NA NA NA AF27 VCCAUX_IO_G0 NA NA NA NA NA NA AE26 VCCAUX_IO_G1 NA NA NA NA NA NA AD27 VCCAUX_IO_G1 NA NA NA NA NA NA AC26 VCCAUX_IO_G1 NA NA NA NA NA NA AB27 VCCAUX_IO_G2 NA NA NA NA NA NA AA26 VCCAUX_IO_G2 NA NA NA NA NA NA Y27 VCCAUX_IO_G2 NA NA NA NA NA NA W26 VCCAUX_IO_G3 NA NA NA NA NA NA V27 VCCAUX_IO_G3 NA NA NA NA NA NA U26 VCCAUX_IO_G3 NA NA NA NA NA NA AH17 VCCAUX_IO_G4 NA NA NA NA NA NA AG16 VCCAUX_IO_G4 NA NA NA NA NA NA AF17 VCCAUX_IO_G4 NA NA NA NA NA NA AE16 VCCAUX_IO_G5 NA NA NA NA NA NA AD17 VCCAUX_IO_G5 NA NA NA NA NA NA AC16 VCCAUX_IO_G5 NA NA NA NA NA NA AB17 VCCAUX_IO_G6 NA NA NA NA NA NA AA16 VCCAUX_IO_G6 NA NA NA NA NA NA Y17 VCCAUX_IO_G6 NA NA NA NA NA NA W16 VCCAUX_IO_G7 NA NA NA NA NA NA V17 VCCAUX_IO_G7 NA NA NA NA NA NA U16 VCCAUX_IO_G7 NA NA NA NA NA NA AB9 VCCO_0 NA 0 NA NA NA NA AC8 VCCO_0 NA 0 NA NA NA NA AW42 VCCO_11 NA 11 NA NA NA NA AY39 VCCO_11 NA 11 NA NA NA NA BA36 VCCO_11 NA 11 NA NA NA NA BB43 VCCO_11 NA 11 NA NA NA NA BC40 VCCO_11 NA 11 NA NA NA NA BD37 VCCO_11 NA 11 NA NA NA NA AN40 VCCO_12 NA 12 NA NA NA NA AP37 VCCO_12 NA 12 NA NA NA NA AR34 VCCO_12 NA 12 NA NA NA NA AR44 VCCO_12 NA 12 NA NA NA NA AT41 VCCO_12 NA 12 NA NA NA NA AU38 VCCO_12 NA 12 NA NA NA NA AV35 VCCO_12 NA 12 NA NA NA NA AH35 VCCO_13 NA 13 NA NA NA NA AJ32 VCCO_13 NA 13 NA NA NA NA AJ42 VCCO_13 NA 13 NA NA NA NA AK39 VCCO_13 NA 13 NA NA NA NA AL36 VCCO_13 NA 13 NA NA NA NA AM43 VCCO_13 NA 13 NA NA NA NA AE34 VCCO_14 NA 14 NA NA NA NA AE44 VCCO_14 NA 14 NA NA NA NA AF31 VCCO_14 NA 14 NA NA NA NA AF41 VCCO_14 NA 14 NA NA NA NA AG38 VCCO_14 NA 14 NA NA NA NA AK29 VCCO_14 NA 14 NA NA NA NA AA36 VCCO_15 NA 15 NA NA NA NA AB33 VCCO_15 NA 15 NA NA NA NA AB43 VCCO_15 NA 15 NA NA NA NA AC30 VCCO_15 NA 15 NA NA NA NA AC40 VCCO_15 NA 15 NA NA NA NA AD37 VCCO_15 NA 15 NA NA NA NA U38 VCCO_16 NA 16 NA NA NA NA V35 VCCO_16 NA 16 NA NA NA NA W32 VCCO_16 NA 16 NA NA NA NA W42 VCCO_16 NA 16 NA NA NA NA Y29 VCCO_16 NA 16 NA NA NA NA Y39 VCCO_16 NA 16 NA NA NA NA B23 VCCO_17 NA 17 NA NA NA NA E24 VCCO_17 NA 17 NA NA NA NA J22 VCCO_17 NA 17 NA NA NA NA M23 VCCO_17 NA 17 NA NA NA NA R24 VCCO_17 NA 17 NA NA NA NA T21 VCCO_17 NA 17 NA NA NA NA A26 VCCO_18 NA 18 NA NA NA NA D27 VCCO_18 NA 18 NA NA NA NA G28 VCCO_18 NA 18 NA NA NA NA H25 VCCO_18 NA 18 NA NA NA NA L26 VCCO_18 NA 18 NA NA NA NA P27 VCCO_18 NA 18 NA NA NA NA B33 VCCO_19 NA 19 NA NA NA NA C30 VCCO_19 NA 19 NA NA NA NA F31 VCCO_19 NA 19 NA NA NA NA J32 VCCO_19 NA 19 NA NA NA NA K29 VCCO_19 NA 19 NA NA NA NA M33 VCCO_19 NA 19 NA NA NA NA N30 VCCO_19 NA 19 NA NA NA NA N40 VCCO_20 NA 20 NA NA NA NA P37 VCCO_20 NA 20 NA NA NA NA R34 VCCO_20 NA 20 NA NA NA NA R44 VCCO_20 NA 20 NA NA NA NA T31 VCCO_20 NA 20 NA NA NA NA T41 VCCO_20 NA 20 NA NA NA NA G38 VCCO_21 NA 21 NA NA NA NA H35 VCCO_21 NA 21 NA NA NA NA J42 VCCO_21 NA 21 NA NA NA NA K39 VCCO_21 NA 21 NA NA NA NA L36 VCCO_21 NA 21 NA NA NA NA M43 VCCO_21 NA 21 NA NA NA NA A36 VCCO_22 NA 22 NA NA NA NA B43 VCCO_22 NA 22 NA NA NA NA C40 VCCO_22 NA 22 NA NA NA NA D37 VCCO_22 NA 22 NA NA NA NA E34 VCCO_22 NA 22 NA NA NA NA E44 VCCO_22 NA 22 NA NA NA NA F41 VCCO_22 NA 22 NA NA NA NA AJ12 VCCO_31 NA 31 NA NA NA NA AM13 VCCO_31 NA 31 NA NA NA NA AN10 VCCO_31 NA 31 NA NA NA NA AT11 VCCO_31 NA 31 NA NA NA NA AW12 VCCO_31 NA 31 NA NA NA NA BB13 VCCO_31 NA 31 NA NA NA NA BC10 VCCO_31 NA 31 NA NA NA NA AL16 VCCO_32 NA 32 NA NA NA NA AP17 VCCO_32 NA 32 NA NA NA NA AR14 VCCO_32 NA 32 NA NA NA NA AV15 VCCO_32 NA 32 NA NA NA NA BA16 VCCO_32 NA 32 NA NA NA NA BD17 VCCO_32 NA 32 NA NA NA NA AK19 VCCO_33 NA 33 NA NA NA NA AN20 VCCO_33 NA 33 NA NA NA NA AT21 VCCO_33 NA 33 NA NA NA NA AU18 VCCO_33 NA 33 NA NA NA NA AY19 VCCO_33 NA 33 NA NA NA NA BC20 VCCO_33 NA 33 NA NA NA NA AJ22 VCCO_34 NA 34 NA NA NA NA AM23 VCCO_34 NA 34 NA NA NA NA AR24 VCCO_34 NA 34 NA NA NA NA AV25 VCCO_34 NA 34 NA NA NA NA AW22 VCCO_34 NA 34 NA NA NA NA BB23 VCCO_34 NA 34 NA NA NA NA AL26 VCCO_35 NA 35 NA NA NA NA AP27 VCCO_35 NA 35 NA NA NA NA AU28 VCCO_35 NA 35 NA NA NA NA AY29 VCCO_35 NA 35 NA NA NA NA BA26 VCCO_35 NA 35 NA NA NA NA BD27 VCCO_35 NA 35 NA NA NA NA AM33 VCCO_36 NA 36 NA NA NA NA AN30 VCCO_36 NA 36 NA NA NA NA AT31 VCCO_36 NA 36 NA NA NA NA AW32 VCCO_36 NA 36 NA NA NA NA BB33 VCCO_36 NA 36 NA NA NA NA BC30 VCCO_36 NA 36 NA NA NA NA AA6 VCCO_37 NA 37 NA NA NA NA T1 VCCO_37 NA 37 NA NA NA NA U8 VCCO_37 NA 37 NA NA NA NA V5 VCCO_37 NA 37 NA NA NA NA W2 VCCO_37 NA 37 NA NA NA NA Y9 VCCO_37 NA 37 NA NA NA NA J2 VCCO_38 NA 38 NA NA NA NA L6 VCCO_38 NA 38 NA NA NA NA M3 VCCO_38 NA 38 NA NA NA NA N10 VCCO_38 NA 38 NA NA NA NA P7 VCCO_38 NA 38 NA NA NA NA R4 VCCO_38 NA 38 NA NA NA NA A6 VCCO_39 NA 39 NA NA NA NA B3 VCCO_39 NA 39 NA NA NA NA D7 VCCO_39 NA 39 NA NA NA NA E4 VCCO_39 NA 39 NA NA NA NA F1 VCCO_39 NA 39 NA NA NA NA G8 VCCO_39 NA 39 NA NA NA NA H5 VCCO_39 NA 39 NA NA NA NA C20 VCCO_40 NA 40 NA NA NA NA F21 VCCO_40 NA 40 NA NA NA NA G18 VCCO_40 NA 40 NA NA NA NA K19 VCCO_40 NA 40 NA NA NA NA N20 VCCO_40 NA 40 NA NA NA NA P17 VCCO_40 NA 40 NA NA NA NA A16 VCCO_41 NA 41 NA NA NA NA B13 VCCO_41 NA 41 NA NA NA NA D17 VCCO_41 NA 41 NA NA NA NA E14 VCCO_41 NA 41 NA NA NA NA H15 VCCO_41 NA 41 NA NA NA NA L16 VCCO_41 NA 41 NA NA NA NA R14 VCCO_41 NA 41 NA NA NA NA C10 VCCO_42 NA 42 NA NA NA NA F11 VCCO_42 NA 42 NA NA NA NA J12 VCCO_42 NA 42 NA NA NA NA K9 VCCO_42 NA 42 NA NA NA NA M13 VCCO_42 NA 42 NA NA NA NA T11 VCCO_42 NA 42 NA NA NA NA AA22 VCCBRAM NA NA NA NA NA NA AC22 VCCBRAM NA NA NA NA NA NA AE22 VCCBRAM NA NA NA NA NA NA AF21 VCCBRAM NA NA NA NA NA NA AG22 VCCBRAM NA NA NA NA NA NA AH21 VCCBRAM NA NA NA NA NA NA U22 VCCBRAM NA NA NA NA NA NA V21 VCCBRAM NA NA NA NA NA NA W22 VCCBRAM NA NA NA NA NA NA Y21 VCCBRAM NA NA NA NA NA NA AA21 VCCADC NA NA NA NA NA NA AA20 GNDADC NA NA NA NA NA NA Total Number of Pins Generated, 1924