将 UCF 约束移植到 XDC

了解如何将基本的 UCF 约束转变为 Vivado 可用的 XDC,包括基本的转换工具和 Xilinx 给出的约束验证建议。