Vivado 的新增功能


2023.2

新增功能 - 2023.2 版本亮点

达到 Fmax 目标

  • 通过 SLR 交叉的自动布置与路由提高 Versal Premium 和 Versal HBM 器件的设计性能
  • 通过多线程支持加速器件映像生成

IPI、DFX、调试以及仿真中简单易用的增强功能

  • 新增 GUI 窗口,为 IPI 中的 Versal 器件实现汇源地址路径的可视化
  • BDs (IPI) 中的手动分配地址锁定功能
  • 为 Versal 器件中的 DFX 平面图增强了可视化
  • 在 Versal 单片器件的相同设计中增加了对 Tandem+DFX 的支持
  • 为 UltraScale+ 器件中的 Queue DMA IP 扩展了对 Tandem 配置的支持
  • 为 SystemC 用户提供了 Vivado 仿真器 VCD 支持

Vivado ML 新增功能(按类别划分)

展开以下部分,进一步了解 Vivado™ ML 2023.2 的最新特性及增强功能。

准备量产的器件:

  • Versal HBM: XCVH1742 和 XCVH1782
  • Versal Premium: XQVP1502、XQVP1202 和 XQVP1402
  • 通过 SLR 交叉的自动布置与路由提高 Versal Premium 和 Versal HBM 器件的设计性能
  • 通过多线程支持加速器件映像生成
  • 新增 GUI 窗口,为 IPI 中的 Versal 器件实现汇源地址路径的可视化
  • BDs (IPI) 中的手动分配地址锁定功能
  • 为 Versal 器件中的 DFX 平面图增强了可视化
  • 在 Versal 单片器件的相同设计中增加了对 Tandem+DFX 的支持
  • 为 UltraScale+ 器件中的 Queue DMA IP 扩展了对 Tandem 配置的支持
  • 为 SystemC 用户提供了 Vivado XSIM VCD 支持
  • 为 UltraScale+ 器件添加 STAPL 文件支持
  • 第三方模拟器支持更新
2023.1

2023.1 新增功能

  • 使用 Intelligent Design Runs*,Versal™ 自适应 SoC 的平均 QoR 提升 8%,UltraScale+ FPGA 的平均 QoR 提升 13%
  • Power Design Manager (PDM) 现包含于 Unified Installer
  • 在 PDM 中添加了对 Versal HBM 器件的支持
  • 为 Versal 器件的比特流生成扩展多线程支持
  • Report QoR Assessment (RQA) 的提升 

Vivado ML 新增功能(按类别划分)

展开以下部分,进一步了解 Vivado™ ML 2023.1 的最新特性及增强功能。

  • 准备量产的器件
    • Versal AI 内核器件:XQVC1702
  • 支持代码覆盖
  • 为第三方工具更新了仿真工具
  • 增强了对导出仿真流程的支持
  • 通过多线程生成比特流:扩展对 Versal 的支持
  • PnR 期间灵活的 MARK_DEBUG 处理
  • 全新的布置后物理优化
  • VHDL-2019 支持
  • 面向 Versal 和 UltraScale+ 设计的 Intelligent Design Run (IDR) 增强功能
  • 增加了报告 QoR 评估 (RQA) 的功能
  • 为 Versal 的 AXI 调试中心提供 BSCAN 回退
  • 为 “插入”流程 (Versal) 提供 DFX 调试支持

PCIE 子系统

  • 适用于 Linux 和 DPDK 的 CPM5 x86 主机驱动程序
  • QDMA v5.0 中的改进性能

有线

  • Versal Premium 支持 DCMAC、HSC、QSGMII
  • 具有 MRMAC FEC 功能和硬化 Interlaken 的 Versal 400G RS-FEC

无线

  • RFSoC DFE IP - 全新 FT PRACH IP、更新的多频段 PRACH IP、评估工具的可用性增强
  • 减少宏蜂窝/小型蜂窝基站的 ORAN-PL 资源
  • 增强多频段支持

存储器

  • Versal HBMZE 公共访问
  • HBM2E System C 仿真

基础架构、嵌入式、GT 向导

  • 在软件中对 CAN 和 AXI Stream FIFO 启用 ECC

多媒体

  • DisplayPort 2.1 Tx
  • ZU+ 符合 HDMI 2.1 规范
  • MPI CSI RX IP 和 DSP IP 增强
  • 在 VEK280 上的全新 MIPI CSI -2 RX 示例设计
  • VDU 一般访问

备注:
* 这是基于 Vivado 工程团队于 2023 年 3 月 26 日对 Vivado ML 2023.1 中使用和不使用(默认)IDR 模式的 45 个基于 Versal 的客户设计进行的测试。结果反映了所有设计的单次测试运行,差异计算和平均。实际结果可能因每个设计、系统配置、软件版本等而异。 VIV-003
* 这是基于 Vivado 工程团队于 2023 年 4 月 14 日 对在 Vivado ML 2023.1 中使用和不使用 IDR 模式(默认)的 50 个基于 UltraScale+ 的客户设计进行的测试。结果反映了所有设计的单次测试运行,差异计算和平均。实际结果可能因每个设计、系统配置、软件版本等而异。 VIV-004

  

2022.2

2022.2 新增功能

  • 推出适用于 Versal™ ACAP 和 Kria™ SOM 的Power Design Manager
  • 与 Explore Strategy* 相比,使用 Versal 器件支持的 IDR(智能设计运行)可使 QoR 平均提升 5%
  • 使用增量编译流程**的 UltraScale+™ 架构设计,可将编译时间加速 1.4 倍
  • 在 Versal 器件上实现基于项目模式的 DFX 抽象 shell 支持
  • 在 Versal Premium SSI 器件上启用 DFX 支持

Vivado ML 新增功能(按类别划分)

展开以下部分,进一步了解 Vivado™ ML 2022.2 的最新特性及增强功能。

  • Vivado ML 企业版支持的器件 
    • Versal™ Premium 系列:XCVP1702、XCVP1802、XCVP1102
  • 标准版和企业版支持的器件
    • Kria™ SOM:XCK24
  • 生产就绪型器件
    • Versal Premium 系列:XCVP1202
    • Versal Prime 系列:XCVM1502
    • Versal AI Core 系列:XCVC1702、XCVC1502
  • 安装占用的峰值磁盘空间减少 25%

基础架构和嵌入式

  • 软端点保护单元 (EPU) IP,可用于保护驻留在 PL 中的 AXI 代理

存储

  • 嵌入式 RDMA 支持的 NIC (ERNIC) 现在支持高达 2000 个队列对 (QP)

千兆位收发器 (GT) 向导

  • Versal GTM 现在支持半密度和全密度之间的速率切换
  • Versal GTY/GTYP 的 16 种配置(限于内部 BRAM 容量)

有线

  • 100G 多速率以太网 MAC 子系统 (MRMAC)
    • 可启用 100G 以太网 106G 串行信道支持
  • 600G 多速率以太网 MAC 子系统 (DCMAC)
    • 可启用 100GE、200GE、400GE 106G 串行每信道支持
  • Aurora 64B/66B
    • 在 Versal Premium 上增加了对 16 信道 GTYP 或千兆位收发器模块 (GTM) 的支持

无线

  • Zynq™ RFSoC DFE IP 更新:通道滤波器和 DUC-DDC UL/DL 共享
  • Zynq RFSoC DFE DPD 更新:PL 资源减少
  • Zynq RFSoC DFE O-RU TRD:只更新了 Low-PHY 处理

PCIe® 子系统

  • 面向 Linux 和 DPDK 的 CPM5 x86 主机驱动程序在 GitHub 上公开发布
  • Versal CPM5 PCIe BMD 仿真设计(来自 CED 商店)
  • Versal CPM Tandem PCIe 设计(来自 CED 商店)
  • QDMA v5.0 提高了性能/资源利用率

多媒体

  • Versal AI Edge 支持软 IP 和视频解码器单元 (VDU)
  • 量产失真处理器 IP
  • 超高清 8K 多媒体解决方案可支持
    • HDMI2.1
    • Video Mixer IP 
  • IP 集成器可支持 AXI 数据流 NoC MxN
  • 新的地址重映射功能
  • Vivado 可用于默认语法检查
  • 地址路径可视化
  • 面向 XCI 文件的 XML 到 JSON 格式
  • 支持系统 Verilog“接口类”
  • 通过 tcl 命令和对象窗口对参考类型的系统 Verilog 对象提供调试支持
  • VHDL-2008 支持
  • 全新 Versal 架构可支持 PCIe 调试器
    • VP1502
    • VP1702
    • VP1802
  • Versal HBM 器件可支持 HBM2E 调试器
  • 全新 Versal 架构可支持集成式误码率测试器 (IBERT)
    • VP1502
    • VP1702
    • VP1802
  • QoR 优化可提供高扇出网络
  • 用于硬 IP 块的 Placer 复制
  • 面向 SSI 设计的两个全新分区约束
  • LUT 分解选项可减少拥塞
  • 为单片 Versal 器件启用增量实现
  • 支持 Versal 器件的 ECO 流程
  • QoR 评估报告新添加的内容
  • 当启用 IDR(智能设计运行)时,Versal 设计的 QoR 平均提高了 5%
  • 面向 SSI 器件的 DFX 支持
  • 面向 Versal Premium 和 Versal HBM 器件的抽象 Shell 支持
  • 面向项目模式的抽象 Shell 支持

备注:
*基于 Vivado 工程团队截至 2022 年 10 月 1 日进行的 48 个 Versal 客户设计。在 2022.2 Vivado ML 软件工具中使用 Explore Strategy 和 Intelligent Design 的 WNS(Worst Negative Slack)比较。商业系统的实际改进提升可能会因系统硬件、软件、驱动版本和 BIOS 设置等多种因素而有所不同。
** 截至 2022 年 10 月 1 日,Vivado 工程团队使用 Vivado ML 软件工具 2022.2 对 68 个设计执行了默认和增量编译。这 6 个异常值比其他数据大 6 倍以上,因为它们影响平均性能的计算,所以被排除在外。5% 的设计经过增量编译以进行比较。商业系统的实际改进提升可能会因系统硬件、软件、驱动版本和 BIOS 设置等多种因素而有所不同。

  

2022.1

Vivado ML 新增功能(按类别划分)

展开以下部分,进一步了解 Vivado™ ML 2022.1 的最新特性及增强功能。

以下器件均已在 Vivado ML 企业版中启用

  • Versal AI Core 系列:XQVC1902      
  • Versal AI Core 系列:XQRVC1902
  • Versal AI Core 系列:XCVC1702、XCVC1502
  • Versal AI Edge 系列:XCVE1752
  • Versal Prime 系列:XQVM1802
  • Versal Prime 系列:XCVM1402、XCVM1302、XCVM1502
  • Versal Premium 系列:XCVP1202

以下器件均已在企业版及标准版中启用

  • Artix UltraScale+: XCAU15P, XCAU10P
  • Zynq UltraScale+ MPSoCs: XAZU1EG

有线

  • Versal Premium 支持:
    • 600G Ethernet Subsystem
    • 包含 RS-FEC Subsystem 的 600G Interlaken
    • High Speed Crypto Engine (HSC) Subsystem
    • Aurora 64B/66B NRZ GTM
    • JESD204C 64B/66B GTM
  • Artix UltraScale+ GTH 支持 Aurora 8B/10B
  • 可用的 GTM 64G 以太网 PAM4 预设
  • 可用的 GTM XSR(极短距离)预设
  • 基于 ML 进行资源估算
  • 更简单的用户版本控制格式
  • 模块参考增强
    • 将模块设计作为模块参考添加到另一个 BD 中
  • CIPS 模块自动化现在可同时支持 DDR 和 LPDDR
  • 2022 年 1 月投入量产的 Versal Hardblock 规划器
  • 聚合 Slice – VHDL 2008
  • 范围窗口中的 SystemC 设计单元名称
  • 设计方法违规意识
    • 在打开有违规行为的设计时弹出警告
  • 交互式 QoR 评估报告
    • 设计运行中显示的报告 QoR 评估 (RQA) 分数
  • 轻松访问项目中的时序收敛特性
    • 对于 Versal,我们现在拥有 ML 策略和智能设计运行
  • 自动 QoR 建议流程
    • 在迭代难以满足时序要求的设计时使用
  • 整个 Vivado 的 Versal QoR 改进
    • 5-8% 平均 QoR 改进
  • 面向 Versal H10 的 IBERT 和 PCIe 调试器支持
  • 使用 Versal ILA 和存储认证支持启动时触发
  • Chipscopy 增强功能
2021.2

Vivado ML 新增功能(按类别划分)

展开以下部分,进一步了解 Vivado™ ML 2021.2 的最新特性及增强功能。

以下设备均已在 Vivado ML 企业版及标准版中启用

  • Artix UltraScale+ 器件:XCAU20P 和 XCAU25P

时序与 QoR 增强:

  • 为用户输入高层次吞吐量限制提供支持
  • 提高 HLS 时序估算精度:当 HLS 报告时序收敛时,Vivado 中的 RTL 综合也应该满足时序要求

易用性提升

在 C 语言综合报告中添加接口适配器报告:

  • 用户需要知道接口适配器对其设计的资源影响
  • 接口适配器具有可影响设计 QoR 的可变属性
  • 其中一些属性具有应该向用户报告的相关用户控件
  • 提供 bind_op 和 bind_storage 报告的文字版本

分析与报告

函数调用图查看器有一些新特性:

  • 全新鼠标拖动式缩放功能
  • 全新概览特性,不仅可显示全图,而且用户还可放大整体图形的各部位
  • 所有函数及环路都与它们的仿真数据一起显示

现已在仿真后提供一个新的时间轴跟踪查看器该查看器可显示设计的运行时概况,并允许用户保留在 Vitis HLS GUI 中。

  • Versal Premium GTM 支持 600G Interlaken 预设
  • Versal Premium GTM 支持 100GE 预设
  • 全新 Versal Premium 集成 600G Interlaken 仿真支持
  • Versal 器件现在提供 EPC IP 支持
  • XPM 内存和 XPM FIFO 现在支持混合 RAM 模式,
    使用‘ram_style = "mixed"'
  • 增加的无损压缩 IP 支持增强的解压缩模式,可针对增加的 LUT 成本将吞吐量提高一倍
  • 针对 Artix UltraScale+ FPGA 发布了 PCIe 子系统支持
  • 针对 Versal ACAP 扩展了 PCIe 子系统器件支持

智能设计运行(IDR)

  • 改善了报告内容:
    • 删除了不相关的表项和不活跃的链接
    • 为所有阶段增加了设计统计数据
  • 右键点击菜单进行选择,可生成比特流
  • 右键点击菜单进行选择,可终止运行

基于 ML 的 placer 指令预测

  • 在 place_design 运行时位置,最多可以预测 3 个最高性能的 placer 指令
  • 使用值为 Auto_1、Auto_2 和 Auto_3 的 place_design -directive 选项
2021.1

Vivado ML 新增功能(按类别划分)

展开以下部分,进一步了解 Vivado™ ML 2021.1 的新功能和增强功能。

  • Versal™ AI Core 系列: - XCVC1902 和 XCVC1802​
  • Versal Prime 系列: - XCVM1802​
  • Virtex™ UltraScale+™ HBM 器件:XCVU57P
  • Flexlm 版本升级到 11.17.2.0
    • 仅支持 64 位 Linux 及 Windows 版本
    • 使用浮动许可证的客户必须将许可证实用程序升级到 Flexlm 11.17.2.0
  • 模块设计容器
    • 2021.1 是模块设计容器的生产版本。
    • 支持模块化设计,以实现可重复使用
    • 允许团队设计
    • 在项目模式下启用 DFX 流程
    • 能够指定仿真和综合的变体
    • 针对顶级 BD 的 BDC 进行地址管理
  • Vivado 商店
    • 从 GitHub 下载电路板和示例设计
    • 第三方开发板合作伙伴可为这些存储库做出与 Vivado 版本异步的贡献
  • IP/IPI 版本控制改进
    • 将较早的 Vivado 项目迁移至新的目录结构
  • CIPS 3.0
    • 为层级模型的 CIPS 进行 IP 重构
    • 全新模块化用户界面
  • Vivado 文本编辑器 — Sigasi 后端
    • 语言协议服务器支持:
      • 自动完成
      • 进入定义/查找用法
      • 工具提示
      • 缩进(仅适用于 VHDL)
      • 键入时的语法错误和警告
      • 代码折叠
      • 语义突显
  • CIPS 和 NoC 的 IPI 设计辅助
      为 NoC 和 CIPS 连接提供直观的模块自动化
    • 允许更便捷的创建能够访问连接到设备或在电路板上提供的所有可用内存的设计,例如 DDR 和 LPDDR
  • 通过互连分配非 2 次幂 DDR
    • IPI 现在可使用一个或多个 SmartConnect IP 跨地址路径分配非 2 次幂 (NPOT) 地址
  • IP 打包器增强
    • 增强了打包器客户体验
      • IPI/定制 IP 中的自定义接口连接
      • 打包器中的 XPM 内存
      • 能够在封装 (IP) 的打包器中,从目录将文件标记为 SV 或 VHDL-2008
    • 可将封装的 RTL IP 作为 Vitis 内核的生产版本
      • IP 打包器中的内核专用 DRC
      • 简单易用
      • 在这些封装的 IP 中保存元数据,以供 Vitis 内核使用
  • IP 增强功能 — 数据中心
    • PCIe 子系统
        Versal Premium 中 CPM5、PL PCIE5 和 GTYP 的早期访问支持
      • 在 Versal CIPS 验证 IP (VIP) 中提供 CPM4 支持,以便进行仿真
    • 算法 CAM IP 介绍
      • US+ 器件的 EA
    • 动态读取模式功能的 AXI IIC 改进
    • SmartConnect 支持非二次幂地址范围
    • UG643 中的 XilSEM 库 API 版本与文档
    • SEM IP 内核器件可为 US+ 器件提供新增支持
  • IP 增强功能 — 视频与影像
    • 视频与影像接口 IP
      • CSI TX 子系统新增 YUV422 10bit 支持
      • DisplayPort 子系统新增 HDCP2.2/2.3 中继器特性支持
      • HDMI2.1(控制访问)不仅新增动态 HDR 支持,而且还增强了游戏特性(VRR、FVA、QMS 和 ALLM)
    • 新 IP:失真处理器用于数字化处理图像
      • 支持梯形失真、桶型及枕形失真和任意失真
      • 缩放:0.5 倍、1 倍和两倍;旋转:-90 度到 +90 度
      • 分辨率从 320 x 240 到 3840 x 2160,支持多通道
      • 输入输出:8/10/12 bpc YUV、RGB
  • IP 增强功能 — 有线
    • 100G 多速率以太网子系统 — MRMAC
      • 10G/25G/40G/50G/100G 以太网 NRZ GTM
      • MRMAC 25G 以太网(-1LP)
          
  • IP 增强功能 — 无线
    • O-RAN
      • IP 核中的静态/动态压缩/解压缩功能(BFP + 调制)
      • 支持 LTE Section Extension Type 3 信息的新接口,可通过单个接口提供外部 LTE 预编码块
      • 支持每个插槽的波束 ID 映射(除每个符号方法现有的外)
      • 支持 DL Section Type 3 消息
      • 添加至 PDxCH BID 端口的 Section Type 0
      • 最大以太网数据包大小增加到 16000 字节(支持 9600 字节超大帧)
  • IP 增强功能 — 存储
    • NVMeHA 现在支持 Versal 和 VU23P 器件
    • NVMeHA 现在支持 Versal 和 VU23P 器件
    • ERNIC 现在支持 Versal
      • MRMAC 的本地连接
    • AES-XTS 只按特殊要求提供
  • IP 增强功能 XPM
    • XPM_Memory 和 EMG 现在支持所有的 URAM 大小
    • XPM_Memory 和 EMG 现在支持混合 RAM 组合
      • 使用 ram_style = "mixed"
    • XPM_Memory 和 XPM_FIFO 允许禁用断言,以获得更广泛的仿真支持
        添加了 DISABLE_XPM_ASSERTIONS 定义
  • IP 增强功能 — 向导
    • 生成 Versal GTY 向导
    • Versal GTYP 向导可作为 EA 提供
    • Versal GTM 向导可作为 EA 提供
  • Vitis HLS  2021.1 – 量产 Versal 支持
  • Versal 时序校准以及 DSP 模块本地浮点运算的全新控制
  • 扇出逻辑较低的可刷新流水线选项(自由运行流水线又称 frp)
  • 增强的自动内存分区算法和全新 config_array_partition 选项
  • GUI 与融合视窗中的全新“流程导航器”可用于综合、分析与调试
  • Vitis 流程“永不停止”数据流内核支持低运行时开销
  • 带热图的函数调用图形查看器支持 II、时延和 DSP/BRAM 利用率
  • BIND_OP 和 BIND_STORAGE 的全新综合报告部分
  • 改进了数据驱动的 pragma 处理,可获得更高的一致性
  • Vivado 报告和全新导出 IP 小部件,将选项传给 Vivado
  • C 语言综合后的全新文本报告,将反映 GUI 信息

ML 模型集成

  • 用于预测和选择优化的机器学习模型
    • 为 Versal 设计实现 30% 的编译加速

全新综合功能

  • XPM_MEMORY 支持异构 RAM 映射
    • 使用所有器件资源类型映射的内存阵列:UltraRAM、Block RAM 和 LUTRAM
    • 充分利用所有资源
    • 使用参数或通用:MEMORY_PRIMITIVE(“混合”)
    • 不支持 WRITE_MODE = NO_CHANGE
    • VHDL-2008:针对 to_string() 函数提供的全新支持
    • 日志报告包括 IP 通用与参数的 RTL 覆盖

实现过程中的机器学习模型

  • 预测路由拥塞和路由延迟
  • 布局估算与实际路由之间的更好相关性,更好的 Fmax 和更短的编译时间

opt_design -resynth_remap​

  • 以时序为导向的全新逻辑锥重新综合优化可减少逻辑层

在按 XDC 属性布置时,手动重新定时 LUT 和寄存器

  • PSIP_RETIMING_BACKWARD​
  • PSIP_RETIMING_FORWARD

Versal 器件的全新功能

  • 校准的 Deskew 在器件启动前调整时钟网络延迟拍,以进一步最大限度减少歪斜
  • 自动流水线插入可将路径上的时钟速度提高……
    • 在 PL 和 NoC 之间,在 PL 和 AI 引擎之间
    • 可以从 AXI Regslice IP 提供,也可以通过使用自动流水线属性提供
    • 为流水线路径增加时延
  • 来自位移寄存器基元 (SRL) 的弹性流水线
  • 流水线围绕 SRL 构建,其可容纳多余的流水线阶段
  • 布局工具基于来源和目标布局构建理想的流水线
  • 阶段可以从 SRL 中取出,扩大覆盖范围
  • 阶段可由 SRL 吸入,缩短流水线,从而缩小覆盖范围
  • 保留流水线路径上的时延

智能设计运行:

  • 智能设计运行 (IDR) 可为功能强大的全新自动时序收敛流程提供按钮访问
    • report_qor_suggestions
    • ML 战略预测
    • 增量编译
  • 可在 Vivado 项目中提供,并可通过右键点击菜单选择一个时序发生故障的实现运行启动。IDR 报告控制面板可显示流程的详细进度,并可提供相关报告的超链接。为时序收敛有困难的用户提供了一个良好的选项
    • QoR 收益平均超过 10%

报告 QoR 建议 (RQS) 改进

  • 涉及 DFX 的 QoR 建议
    • 静态锁定时,只提供 DFX 模块的建议
    • 没有扰乱 DFX 边界的建议
    • 综合建议正确限定了全局或脱离环境运行的范围
  • 评估随交互式 report_qor_suggestions (RQS) GUI 报告提供

时序报告中的方法违规

  • 时序报告现在包括报告方法摘要
    • 引起对方法违规的注意
    • 忽视的方法违规可能会导致时序故障
  • 包括最新 report_methodology 运行
      的方法违规摘要
    • 与设计检查点一起存储的方法违规摘要

全新约束报告特性

  • report_constant_path:用于识别在单元和引脚上观察到的常量逻辑值来源的新命令
    • report_constant_path
    • report_constant_path -of_objects [get_constant_path ]

  

Versal 的 DFX

  • Versal DFX 流程提供有生产状态
    • 编译 DFX 设计,从模块设计到器件映像创建
    • 使用 Vivado IPI 模块设计容器 (BDC) 创建 Versal DFX 设计
  • 在 Versal 中使用 DFX IP,就像使用 UltraScale、UltraScale+ 一样
    • DFX 去耦器 IP、DFX AXI 关断管理器 IP,用于隔离非 NoC 接口
  • 所有可编程逻辑均为部分可重新配置
    • 从 NoC 到时钟,再到硬块
  • 支持 AIE 全阵列 Dynamic Function eXchange
    • 通过 Vitis 平台流程提供支持

DFX 的 BDC

  • 在 IP Integrator 中发布的 DFX 的模块设计容器 (BDC)
    • 支持所有架构,对 Versal 而言至关重要
  • 将模块设计布置在模块设计中,以便创建并处理 DFX 设计
    • UG947 将为 Zynq UltraScale+ 和 Versal 器件提供 IPI BDC 教程
    • 更多 DFX 教程将在 GitHub 上提供

使用 DFX 的经典 SoC 启动流程

  • 为 Versal 设计提供的经典 SoC 启动流程
    • 帮助用户在加载可编程逻辑之前,快速启动其基于 DDR 的处理子系统和内存,以运行 Linux
    • 在 Versal 中单独进行事件编程,仿真 Zynq 启动流程
    • 该流程中使用的 Auto-Pblock 生成
    • 与 CPM 不兼容

面向 CPM4 的 Versal Tandem 配置

  • 为 CPM4 提供 Tandem PROM 和 Tandem PCIe
  • 需要 PCIe 端点 120ms 配置的用户现在可选择
    CIPS 自定义 GUI,以便选择 Tandem 配置模式
    • Tandem PROM — 从闪存加载两个阶段
    • Tandem PCIe — 从闪存加载阶段 1,
      通过 DMA 在 PCIe 链路上加载阶段 2
    • 无 — 标准启动

在 UltraScale+ 中为嵌套 DFX 设计提供的抽象 Shell 支持

  • 使用嵌套 DFX (pr_subdivide) 将可配置分区 (RP) 细分为多个嵌套 RP
  • 为每个嵌套 RP 创建抽象 Shell (write_abstract_shell)
  • 通过使用每个嵌套 RP 的抽象 Shell 加速其实现
  • VHDL-2008 增强功能
    • 无约束阵列
    • ·条件运算符
    • 一元减少运算符
  • 支持代码覆盖
    • Write_xsim_coverage 命令支持写入中间覆盖率数据库

SmartLynq+ 模块

  • 针对 Versal 高速调试端口 (HSDP) 进行了优化
    • 更快的器件编程及内存访问
    • 高速数据上传和下载
    • 数据存储:模块上提供 14GB DDR 内存
  • 201条 支持高速调试端口
    • 能够通过 USB-C 连接器连接基于 Aurora 的 HSDP
  • PC4 和基于 USB 的 JTAG
  • 串行 UART 支持

ChipScopy

  • ChipScope 的开源 Python API
    • 控制 Versal 器件与调试内核并与其通信
    • Vivado 不需要使用,只需一个 PDI/LTX
    • 优势
      • 构建自定义调试接口
      • Python 生态系统接口
2020.2

器件支持

  • Versal AI Core 系列:XCVC1902 和 XCVC1802
  • Versal Prime 系列: XCVM1802
  • Zynq UltraScale+ RFSoC: XCZU43DR、XCZU46DR、XCZU47DR、XCZU48DR、XCZU49DR

安装与许可

  • 除了现有的独立安装解决方案之外,Petalinux 现在也是 AMD 统一安装程序的一部分。

IP Integrator

  • 版本控制的改进
    • 分离来源与输出产品的新目录结构
    • BD/IP 输出产品不再放在 project.srcs 目录下。
    • 所有输出产品都位于与 project.srcs 并列的 project.gen 目录下。
  • 地址映射增强
    • HTML 地址映射的图形视图
  • Vitis 平台创建提升
    • 能够在项目创建和项目安装过程中将 Vivado 项目识别为可扩展平台项目
    • 新增平台接口验证 DRC
    • 在平台 BD 验证过程中运行平台 DRC
    • 最新平台安装 GUI
  • IP 高速缓存改进
    • 能够创建和使用只读压缩 IP 高速缓存
    • 可以指向压缩的高速缓存内容,而且不需要解压缩
  • 模块设计容器
    • 在另一个 BD 中实例化一个 BD
  • 控制、接口和处理系统 (CIPS) — Versal
    • XHUB 商店中的示例设计 — Versal

IP 增强功能

数据中心

  • PCI Express 的队列 DMA 子系统 (QDMA) 器件支持扩展
    • “-2LV”UltraScale+ 器件中的 Gen3x8
    • “-2LV”Virtex UltraScale+ VU23P 器件中的 Gen4x8
  • PCI Express 的 Versal ACAP 子系统主要针对 GTY、PL PCIE4 和 CPM4 集成块提供
    • PCI Express 的集成型模块 (GTY + PL PCIE4)
    • 面向 PCI Express 的 DMA 和桥接子系统(GTY + PL PCIE4 + 软 QDMA、XDMA、AXI-Bridge)
    • PCI Express 的 CPM 模式 (GTY + CPM4)
    • 面向 PCI Express 的 DMA 和桥接模式(GTY + CPM4 + 硬 QDMA、XDMA、AXI-Bridge)
    • PCI Express 的 PHY (GTY)

视频和成像

  • MIPI
      Versal 器件上的 DPHY 速率增加了:-2 和 -3 器件上为 3200Mbs;-1 器件上为 3000Mbs
    • 为 CSI RX 内核新增 YUV420 输出支持
  • DisplayPort 1.4 子系统
    • 提供 YUV420 支持、自适应同步、静态 HDR
    • 一般性访问中的 eDP IP 选项
  • SDI 子系统
    • 支持 HLG HDR
    • Versal VCK190 通过实例设计
  • HDMI2.0 增加了对 HDCP2.3 的支持

有线和无线

  • JESD204C 全面投产
  • 为 UltraScale+ 和 Versal 提供全新 200G RS-FEC
  • 1G/10G/25G 以太网增加了 1 步和 TSN 支持
  • Versal MRMAC 1 步 1588 硬件时间戳
  • 10G/25G MRMAC Ethernet 2-step 1588 linux 驱动支持 

存储器

  • 最新 ERNIC 特性
    • 100G 持续带宽支持的资源优化
    • 支持最新 VU23P 器件
    • 优先流程控制 (PFC) 的改进
  • NVMeTC 现在支持最新 VU23P 器件
  • 无损压缩 IP、GZIP 和 ZLIB 算法
  • NVMeOF 参考设计现在可用于 Alveo U50 和 Bittware 250-SoC 开发板

通用

  • XPM
    • XPM_CDC 现在通过 IPI 提供
    • 针对 Versal 提供的 URAM 初始化支持
  • 基础架构与嵌入式
    • 最新 SmartConnect 特性
      • 优先级仲裁
      • 低区域模式
  • 嵌入式内存生成器 (EMG) 在 Versal 的 IPI 中,取代模块内存生成器
  • 嵌入式 FIFO 生成器 (EFG) 在 Versal 的 IPI 中,取代模块 FIFO 生成器

向导:

  • 目前针对 Versal 提供向导
    • GTY 收发器向导
    • 高级 IO 向导
    • Clocking Wizard
  • 最新收发器向导特性
    • 全面的模块自动化,信道可选择
    • 实时重配置(仅限 Versal)
    • 四通道共享(仅限 Versal)
    • 收发器桥接 IP(仅限 Versal)
  • 高层次综合
    • Vitis HLS 在 Vivado 中可取代 Vivado HLS(在 v2020.1 中已经是 Vitis 的默认值)
    • 为顶部端口新增阵列重塑和分区指令
    • 简化了工具栏图标布局,为界面和 AXI-4 的猝发新增报告部分
    • 针对 Versal DSP 模块中的单时钟周期浮点累加提供推断
    • Tcl 文件不仅可创建项目,而且还可在 GUI 中直接打开 (vitis_hls -p .tcl)
    • 在“解决方案设置”→“一般”中为非默认选项提供新的单击筛选
    • 现在在 GUI 中可以看到对 AXI 接口的约束性随机测试
    • 通过 bind_storage pragma 查看片上模块 RAM ECC 标志选项
    • 在 CoSim 过程中通过 GUI 对 FIFO 的量进行交互式深度调整
    • 支持 SIMD 编程(向量数据类型)

Matlab & Simulink 附件:

  • 统一的安装程序将在一个启动器中同时提供模型编写器和系统生成器

模拟

  • VHDL-2008 支持
    • 移位运算符(rol、ror、sll、srl、sla 和 sra)
    • 将阵列逻辑运算符与标量逻辑运算符混合
    • 按照信号进行条件顺序分配
    • 案例生成
    • 针对全局静态表达式和局部静态表达式进行扩展
    • 范围边界内的静态范围和整数表达式
  • 支持跨语言层级名称
    • 将启用 Verilog 层级名称访问 SV/Verilog 模块的 VHDL 信号
  • 仿真器支持 Versal
    • AMD 仿真器
    • 第三方仿真器
      • Cadence Xcelium
      • Mentor Graphics 验证引擎

硬件调试

  • Versal AXIS-ILA
  • 调试流程改进
  • 调试模块自动化改进
  • 支持对 URAM 和 AXIS-ILA 跟踪存储的选择

综合

  • 支持系统 Verilog 字符串类型
  • VHDL-2008 中提供对定浮点数据包的支持
  • 异构 RAM 的自动流水线
  • 逻辑压缩指令扩展至 Versal LOOKAHEAD

实现方案设计流程

  • Placer 复制 (PSIP) 改进
  • 电源轨定义与功耗分析
  • BUFG 至 MBUFG 全局缓冲区转换 (Versal)

设计分析和时序收敛

  • RQA 和 RQS 改进

Dynamic Function eXchange (DFX)

  • Dynamic Function eXchange 的抽象外壳模式
  • 一个设计中的隔离设计流程 (IDF) + DFX
2020.1

安装与许可

  • 下载支持 Windows 的验证(摘要与签名)
  • Web 安装程序的下载专用特性现在支持两个选项
    • 下载全部映像(所有产品)
    • 只下载选定的产品(可减少文件大小)

IDE 增强功能

  • 最新示例设计及开发板文件下载实用程序。只下载您需要的内容,并获得访问大量 AMD 库以及 github 上第三方解决方案的权限。
  • 改进的全新示例设计可通过下载获得

IP Integrator

  • 引入全新“路径”及“网络”概念
    • 保持熟悉的外观
  • 与地址编辑器完全交叉探测
    • 通过路径和/或网络突出显示
  • 错误的实时高亮显示
    • 工具提示提供失败详情
  • 耳目一新的“地址路径”面板
    • 冗长的路径详细信息
  • 让人赏心悦目的全新“寻址查看”视图
    • 只针对可寻址内容进行了简化
    • 寻址连接的清晰视图

IP 增强功能

数据中心

  • ERNIC IP 增强
    • 已改善带宽和时延,能在 100GE 线路速率下工作。
    • 改善后,支持 64 位地址。现已开始提供各种新功能:PFC 功能和立即命令。
  • 新 AES IP,适用于数据中心加密应用。
  • 最新 NVme 目标控制器 IP 加入主机加速器,可加速存储。
  • NVMeOF 交钥匙 U50 Alveo 解决方案现已开始提供。包括一个 FPGA 位文件和文档。
  • 针对 Queue DMA Subsystem for PCI Express (QDMA 4.0) 的主要修订,以改善时序、降低资源利用率并简化前向迁移。

有线/无线

  • 无线
    • 为 GTH3/4 (Preproduction 2020.1) 新增的 JESD204C 支持
    • 最新 ORAN 无线电接口 IP 采用专用 SRS/PRACH AXI-stream 和 32 个空间流媒体提供 O-RU(O-RAN 无线电单元)功能。
    • 最新 400G FEC IP 可选软实现方案利用 US+ 58G GTM hard 50G KP4 FEC 节省面积和电源。
  • 有线
    • AXI 以太网增加了对可切换 SGMII 和 1000BASE-X 的支持
    • 50G 以太网子系统增加了可选软 50G 'KP2' NRZ FEC
    • 集成型 100G 以太网子系统增加了可选软 100G 'KP4' NRZ FEC

通用

  • 防火墙 IP — 为上游或下游方向提供保护。该 IP 有助于在 FPGA 即服务以及其它应用中对各区域进行隔离。
  • SmartConnect IP 针对较低区域模式、1x1 耦合以及转换功能进行了优化。

视频与影像 IP

  • SDI 子系统在本机视频接口模式下增加了 12bpc 和 HFR
  • MIPI CSI 传输子系统增加了对 raw16 和 raw20 颜色格式的支持
  • 视频混频器添加选项来选择比色法 BT.709 和 BT.601 支持
  • HDMI2.0 子系统增加了 32 通道音频和 3D 音频支持

综合

  • 能够使用 XDC 约束重写 HDL 属性,这有助于在不修改 HDL 源代码的情况下,修改综合行为。
  • 通过在同一项设计中的不同语言之间增强的泛型及参数传递,重复使用并集成来自不同语言的设计。
  • 在处理函数调用时,工具的性能得到了显著提升。所有语言都得到了改进。
  • 一个名为逻辑压缩的新指令可使用最少的逻辑资源实现较低精度的算术函数。
  • 通过在不同的资源类型上平衡阵列来避免特定资源类型的高利用率,显著改进了内存映射。

实现

Dynamic Function eXchange (DFX)​

  • 嵌套 DFX 允许用户在动态区域中放一个或多个动态区域,从而可进一步提高 DFX 的灵活性
    • 支持 UltraScale 与 UltraScale+
    • 生产状态、无项目支持
  • 优势
    • 更简单的验证
    • 数据中心卡正常运行时间
    • 更精细的粒度
  • 所有用于部分重新配置的现有 IP 已被使用 Dynamic Function eXchange 术语的等效 IP 所取代
    • IP 在功能上等同于其以前的产品,并且很容易从 PR 升级至 DFX

实现设计流程

  • Pblocks 现在在默认情况下是 SOFT 类型
  • 唯一的例外:DFX Pblock 从定义上看是硬边界,不能变为 SOFT
  • 优势
    • 单元布置在 Pblock 边界之外,可以提高设计性能(更短的线路长度,更少的拥塞)

设计分析和时序收敛

  • 报告多达 3 个自定义策略的 QoR 建议预测,以提高性能
    • 预计会比默认情况下及 Performance_Explore 下得到的结果更好
    • 节省编译时间,减少扫描许多策略的工作。
    • 运行 report_qor_assessment (RQA),检查设计是否与策略预测相适应。
  • report_ram_utilization 报告已彻底修改,提供了相关信息。
    • 进行内存资源的权衡
    • 识别低效 DRAM
    • 查看后期选项优化
    • 性能/功耗瓶颈

功耗分析

  • Vivado 现在支持电源轨报告
    • 电源报告可计算电轨与电源的电流总预算及电流预算
    • 电源轨定义包含在电路板文件中
  • 电轨报告现在可用于 Alveo U50