Aldec Riviera-PRO

  • 产品编号: RPRO
  • 供应商: Aldec, Inc.
  • Partner Tier: Select

产品描述

Riviera-PRO 是一个面向 ASIC 和 FPGA 设计的多平台、高性能、混合语言 RTL 的门级仿真器。它包含高级调试工具并支持以 SystemC 与 SystemVerilog、基于断言的验证 (ABV)、事务级建模 (TLM) 和 VHDL/Verilog 设计规则检查为基础的高级验证方法。Riviera-PRO 支持命令行模式和 GUI 模式,并可以在这两者之间进行轻松转换。


主要特性与优势

  • ALINT Linting:200 VHDL、Verilog 和时钟域交错 (CDC) 设计规则、综合仿真、违规查看器和配置管理器。
  • 基于断言和覆盖率的验证:断言与覆盖率查看器波形/覆盖率与断点编辑器。SystemVerilog IEEE 1800 断言/覆盖率、PSL 与 Open Vera (OVA)。
  • 协仿真:DSP/HDL 算法 MATLAB 与 Simulink 接口。
  • SystemC 支持:支持在一个仿真环境中进行 SystemC/C/C++ 与 HDL 协调试,而且无论使用何种语言,都可以执行跟踪源代码、设置断点、查看对象等操作。
  • 调试:代码执行/跟踪、波形比较、存储器查看器、覆盖率、断点编辑器、Xtrace、高级数据流、分析器与 SystemC 协调试。
  • 支持的语言:VHDL、Verilog、SystemVerilog IEEE 设计/验证/断言、SystemC 和 EDIF。
  • 代码覆盖率、翻转与功能覆盖率。