AXI Stream Verification IP (VIP)

概述

产品描述

AXI Stream VIP 可用于为支持定制 RTL 设计流程的 AXI 数据流主设备及 AXI 数据流从设备验证连接和基本功能性。此外,它还支持贯通模式,该模式明显有助于用户监控事务处理信息/吞吐量或驱动有源激励。AXI Stream VIP 提供的实例测试台和测试可演示 AXI4-Stream 的能力。这些实例可作为一个起点,为支持 AXI4-Stream 的定制 RTL 设计创建测试。这些实例可通过 IP 集成器访问。

使用 AXI Stream 验证 IP,无需许可证。


主要特性与优势

  • 支持所有协议数据位宽及地址位宽、传输类型与响应
  • 全面支持 AXI Stream 协议检查器
  • 集成的 ARM 许可协议声明
  • 事务处理级协议校验(突发类型、长度、大小、锁定类型、高速缓存类型)
  • 行为 SystemVerilog 语法
  • SystemVerilog 基于类别的 API
  • 可配置仿真消息发送
  • 在 Vivado 设计套件中提供
  • 在 IP 集成器中提供的 SystemVerilog 实例设计与测试台
  • 所支持的仿真器:Aldec Riviera-PRO、Cadence Incisive 企业仿真器、Vivado 仿真器、Mentor Graphics Questa Prime 和 Synopsys VCS

技术支持

技术文档

主要资料

Default Default 标题 文件类型 日期