AXI4-Stream 协议检查器

  • 捆绑产品:
    • Vivado Design Suite
概述

产品描述

AXI4-Stream 协议检查器内核不仅可针对协议违反情况监控 AXI4-Stream 接口,而且还提供出现协议违反情况的指示。

这些检查是 ARM 在 AMBA 4 AXI4、AXI4-Lite 和 AXI4-Stream 协议断言用户指南中提供的 System Verilog 协议断言的综合版本。


主要特性与优势

  • 支持对 AXI4-Stream 协议的检查。
  • 支持界面宽度:
    • TDATA 宽度:1 到 512 字节
    • TUSER 位宽:0 至 4096 位
    • TID 位宽:0 至 32 位
    • TDEST 位宽:0 至 32 位
  • 支持可选信号:
    • TREADY
    • TSTRB
    • TLAST
    • TKEEP
  • 面向仿真工作的可编程消息发送级别
  • 经过测试,不仅支持 Vivado 调试网,而且还支持与 Vivado 逻辑分析器监控的联系

技术支持

技术文档

主要资料

Default Default 标题 文件类型 日期