2023.2

2023.2 嵌入式软件工具新增功能

展开以下部分,进一步了解 2023.2 嵌入式工具的最新特性及增强功能。

Yocto 项目

  • Yocto 小版本升级至 4.1.4 (Langdale)
  • 集成 Xilinx 组件升级
  • 执行动态配置
  • fpgamemanager 类别的重命名
  • 保留现有类别,以便向后兼容 1 个版本
  • 为经典 SoC/ 分段引导流程引入最初支持,以引入 Versal™
  • 在 fpgamanger_custom / dfx_user_dts 类别中增强了对超过 1 个 dtsi 的支持
  • 改进并添加了 README 文件,在这里提供:
  • 机器配置
    • 删除了 zcu1275 和 zcu1285
  • 多媒体
    • Mali400 默认为 Weston 9,Lima 默认为 Weston 10 (Mali400 与 Weston 10 不兼容)
  • Kria
    • 增加了对 K24 和 KD240 的支持
    • 使用 Yocto 取代 Petalinux ramdisk
  • RISC-V 裸机工具链
    • 新增 rv32ima 和 rv32imc multilibs
  • Gen-machine-conf:
      为 dt-processor 脚本添加一个机器覆盖选项
    • 为 dt-processor 脚本添加一个机器继承选项

PetaLinux

  • 为 MicroBlaze (MB) 引入 NFS 根支持
  • 用户在设计中选择任何可用内存时,执行自动引导流程
  • 执行自动 HBM 引导流程
  • 在 PetaLinux 工具中支持分段引导流程模板
  • 为 Ubuntu 20.04 LTS 和 20.04.1 LTS 版本移除操作系统支持
  • 为 Ubuntu 20.04.6 LTS 和 22.04.2 LTS 版本新增操作系统支持
  • 为 OPEN SUSE Leap 15.4 和 AlmaLinux 9.1 版本新增操作系统支持
  • 增加一个配置选项来指定用于使用 gen-machine-conf 生成 Yocto 机器配置文件的继承配置文件
  • 增加一个配置选项来为生成机器配置文件指定额外的 MACHINEOVERRIDES
  • 在 PetaLinux 中实施密码恢复机制,无需重新构建
  • 转而使用 PetaLinux 的最新 FPGA 管理器模板类别,详情见 UG1144

设备树生成器 (DTG)

  • 在 DTG 中包含 DCMAC 支持
  • 为 Versal 的最新分段引导流程引入 DTG 支持

通用基础架构

U-Boot

  • 为 QSPI 闪存引入锁定 / 解锁支持
  • 修改 spi 模块,以便通过改变刷新高速缓存来让高速缓存失效
  • 在 zynqmp 配置中为 USB 新增适当的相关性
  • 在 zynq_gem net 模块中取消了 MDC 时钟除数器的硬编码
  • 如果驱动程序未启用,则在 Versal 中删除 boot_targets 的设置
  • 支持从 xilinx 开发板模块的器件树中选择 bootscr 闪存偏移 / 大小
  • 在 spi-nor mtd 模块中增加对并行及堆叠内存的支持
  • 在 spi-nor mtd 模块中包含对 w25q256jwfilm 的支持

Versal 的 Arm 可信固件 (TF-A):

  • 运行时,在 dtb 中执行了 DDR 地址保留
  • 新增对 SMCC ARCH SOC ID 的支持
  • 过渡至 xlat_v2
  • 用开关语句替换了 irq 阵列
  • 增加了对检索 chipid 信息的支持
  • 解决了与 IPI 计算相关的问题
  • 在报头中配置了本地 / 远程 ID

ZynqMP 的 Arm 可信固件 (TF-A):

  • 在报头中配置了本地 / 远程 ID

Versal™ 启动、配置与安全

  • Versal PLM 的增强功能
  • 在 Versal 中增加了 IPI 消息过滤支持
  • 为 Versal 改进了 PLM 调试

安全性增强:

  • 在 Versal 中缩短了身份验证时间

Zynq MPSoC FSBL:

  • 解决了系统中只使用 UART 时的打印混淆问题

  

加强了 Shim Tile 的资源管理:

  • 引入更多度量集来支持资源管理,从而改进了 AIE 跟踪与分析功能。

添加了 DMA 和 AIE-ML 特定状态转储:

  • 通过读取额外的寄存器增强了 AIE 状态报告,从而可提供与在 AIE 和 AIE-ML 中缓存描述符有关的新信息,其中包括 Mem tile 信息。

测量 AIE Tile 内核利用率:

  • 实施了 Linux 运行时函数,可为测量 AIE tile 内核利用率加速占用计算。

在时钟门控 Tile 上控制用户空间访问:

  • 删除了时钟门控 Tile 的用户空间映射,以控制用户空间访问。
  • 在访问时钟门控 tile 触发时,忽略了错误信号。

Software 库

XilSEM:

  • 在 XilSEM 客户端,增加了对 R5-Split 模式的 FreeRTOS 的支持。
  • 为 XilSEM 命令执行了 IPI 消息过滤。

Xen PL011 的全面仿真:

  • 增强的 Xen 为 PL011 UART 提供了全面仿真,从而简化了在 Xen 上运行未修改的操作系统的过程。

提高了未修改操作系统的兼容性:

  • 提高了将 PL011 UART 驱动程序用作 Xen VM,运行任何操作系统的功能。

为支持 SMP 的 Cortex-R52 提供 Xen 支持:

  • 在 Cortex-R52 上启用了 Xen 的对称多处理 (SMP) 支持。
  • 允许在一个 RPU 集群的两个 Cortex-R52 内核上运行 Xen。
  • 简化了在 R52 内核之间配置设备分配和资源保护的过程。

为 Virtio 提供的安全 Xen 支持:

  • 增加了 Xen 对安全 Virtio 的支持,从而可将 Virtio 设备安全地暴露给 Xen 虚拟机。
  • 允许在 Dom0 或其它 DomU 中运行 Virtio 后端。
  • 无需所有权限,即可运行 Virtio 后端,从而可提供安全保护的优势。

 

  • Versal-Edge VDU Control-SW 应用现在针对自动实例选择参数提供了支持。
  • 在用户没有指定视频解码器设备 ID 的情况下,控制软件应用将根据当前负载确定设备。

  

PL HLS 视频 IP 的增强功能:

  • 在帧缓存写入 IP 中引入了对 YUV_420_8bit 3planar 格式的支持。
  • 在帧缓存读取 IP 中实施了对 YUV_420_8bit 3planar 格式的支持。

  

Linux:​
  • 在 OSPI 驱动程序中增加了闪存保护支持。
  • 引入了对 1G/10G 交换 IP 的支持。
  • 不仅通过 EXTTS 支持增强了 PTP 定时器同步器驱动程序,而且还在 PL 以太网和 PTP 定时器同步器驱动程序中增加了 PHC 设备 / 索引支持。
  • 对电源管理 (PM) 框架的支持现在提供在 BareMetal 驱动程序和 FreeRTOS 中。
  • RISC-V BSP 支持在早期访问时提供。
  • 增加了对 Versal QSPI 反馈时钟检查的支持。
  • 实施了对基于系统设备树的工作流程解耦流程的支持(通过 2023.2 统一 Vitis 提供),针对 Versal、ZynqMP、Zynq 和 Microblaze 平台提供了支持。

Baremetal、FreeRTOS:

  • 在 BareMetal 驱动程序和 FreeRTOS 中引入了 PM 框架支持。
  • RISC-V BSP 支持在早期访问时提供。

  

•PL HLS 视频 IP 的增强功能:

•在帧缓存写入裸机驱动程序中引入了对 YUV_420_8bit 3planar 的支持。

•在帧缓存读取裸机驱动程序中实施了对 YUV_420_8bit 3planar 的支持。

•PL 连接视频 IP 的增强功能:

•在 HDMI 2.1 Tx 裸机驱动程序中增加了对 VTEM 包特性的支持。

•在 HDMI 2.1 Tx 裸机驱动程序的 EDID 解析器中引入了 SCDB 支持。

•在 Versal VEK280 开发板的 HDMI 2.1 Tx Linux 驱动程序中启用了支持 RGB888 格式的 TMDS 模式 (4kp60)。

•在 Versal VEK280 开发板的 HDMI 2.1 Rx Linux 驱动程序中提供了支持 RGB888 格式的 TMDS 模式 (4kp60) 支持。

•在 DP 1.4 Rx Linux 驱动程序中增加了 HDCP 2x 支持。

在 HDMI 2.0 Rx Linux 驱动程序中实施了 HDCP 2x 支持。

Yocto、Petalinux、DTG 嵌入式工具更新:

  • Yocto 小版本升级至 4.1.4 (Langdale),集成 Xilinx 组件升级。
  • 为 Versal 引入了对经典 SoC / 分段引导流程的初始支持,fpgamemanager 类别增强。

引导加载程序与固件更新:

  • 为 U-Boot 中的 QSPI 闪存引入锁定 / 解锁支持。

AI 引擎器件驱动程序与工具:

  • 通过更多度量集提高了 AIE 跟踪与分析功能。
  • 为缓存描述符和资源管理增强了 AIE 状态报告。

多处理软件 — Xen:

  • 全面仿真 PL011 UART,这不仅可运行未修改的操作系统,而且还可提高兼容性。
  • 在 Cortex-R52 上支持 SMP,简化了设备配置,并提供安全可靠的 Virtio 支持。

视频编解码器单元 (VCU) 软件:

  • Versal-Edge VDU Control-SW 应用支持自动实例选择参数。
  • 如果没有提供视频解码器设备 ID,则根据系统负载自动选择设备。

多媒体 IP 驱动程序(Linux/Baremetal):

  • 增加了对帧缓存写入及读取裸机驱动程序中各种视频格式的支持。
  • 在 HDMI 2.1 Tx 和 Rx 裸机驱动程序中引入了新特性,并在选定的 Linux 驱动程序中提供了 HDCP 2x 支持。
2023.1

2023.1 嵌入式软件工具新增功能

展开以下部分,进一步了解 2023.1 嵌入式工具的最新特性及增强功能。

Yocto 项目

  • 将 yocto 版本升级至 4.1 (Langdale)
  • 更新了 Langdale 的社区层,其中包括 meta-jupyter、meta-ros 和 meta-openamp
  • ZCU670、VHK158、VPK120、VPK180、VEK280、AC710、KCU105 以及 VCU118 的新机器配置
  • 针对 Linux、u-boot、TF-A、Xen、Qemu、Gstreamer、Openamp/libmetal 集成了升级的 Xilinx 组件

PetaLinux

  • 将 Petalinux 工具升级到最新 yocto 4.1 版 (Langdale)
  • 支持最新操作系统:Ubuntu 20.04.5、22.04 LTS 和 22.04.1、Alma Linux 8.7 以及 Open Suse leap 15.3
  • VPK180、VEK280、ZCU111、VCK190、VPK180、ZCU104、SE7、SE9、K24c-SOM、K24I-SOM、KV240 入门套件以及 KD24 入门套件的 BSP 支持与更新

设备树生成器 (DTG)

  • 为覆盖启用了 sugar syntax
  • 为 DFX/CSOC 启用了自定义 DTSI 流程

通用基础架构

U-Boot

  • U-Boot 升级至主流 2023.01
  • ZynqMP:为 U-Boot 控制台新增显示驱动程序
  • Versal:为 Micron 闪存新增针对 OSPI DDR 的支持
  • Versal:以太网中新增针对 ADI phy 的支持

Arm 可信固件 (TF-A)

  • TF-A 升级至上游 v2.8 版本。
  • Versal:将宏名称更新为通用名称并移至公共位置
  • Versal:新增处理多个中断的基础架构

Versal™ 启动、配置与安全

Versal PLM

  • 为 Versal 网络修复了 APU IPI 警告逻辑
  • 将协议栈大小增加了 1KB
  • 修订的图像存储实施方案 — 参见 UG1304,了解更多详情

PMU Firmware (PMUFW)

  • TF-A 升级至上游 v2.8 版本。
  • Versal:将宏名称更新为通用名称并移至公共位置
  • Versal:新增处理多个中断的基础架构

AI 引擎驱动器 — Linux

AIE-ML Linux 调试

  • 新增对事件跟踪与分析的支持。
  • 新增 Mem Tile 事件支持
  • 新增针对状态转储与 sysFS 的支持
  • 现支持 V70 & VEK280 器件

如需 AIE 驱动代码,请访问: https://github.com/Xilinx/aie-rt/tree/main-aie

Software 库

XilPLMI

  • 为从二级 SLR 转发的 SSIT 单个 EAM 事件增加了支持
  • 为 Versal 网络修复了 CFI 回读逻辑
  • 检查跳过二级引导模式的 SRST 及多引导寄存器更新

XilLoader

  • 修复了启用 PLM_SECURE_EXCLUDE时的编译警告

XilPDI

  • XilPdi_ImgHdr 中新增 PcrInfo 属性

OpenAMP LibMetal

  • Libmetal 升级至 1.3.0;Open-amp 升级至 1.3.1

Xen Hypervisor

  • Xen 更新至 4.17
  • 支持 Virtio-disk 和 Virtio-net
  • 将 Gstreamer 框架升级至 1.20.5 版本
  • 更新了 Xilinx V4l2、DRM 框架,支持 6.1 内核
  • 更新了 VCU、PS_DP 和 GPU Linux 设备驱动程序,支持 6.1 内核
  • VCU 的新特性:在 control-sw 层使用 '--decode-intraonly' 命令行选项解码内部专用帧

Versal AI Edge (VEK280):

  • 提供 VideoDecoderUnit (VDU) SW 支持
    • 发布 Control-SW、openMaxIL 以及 MCU 固件二进制及内核模块驱动程序源代码 repos
    • 支持 VDU 多流及多实例解码
    • 支持示例解码器测试应用
  • VCU 产品指南 (PG252) 更新
  • VVAS SDK v3.0 版本
    • 基于 Vivado 和 Petalinux v2022.2
    • 取决于 Vitis-AI v3.0
  • Versal 视频解码器单元 (VDU)
    • VDU 产品指南 (PG414) 更新:2023 年 7 月 8 日提供
    • VDU 常规访问版本:位于 github.com 上
  • VCU TRD v2023.1 将于 2023 年 5 月 10 日发布

Baremetal

  • lwip 更新至 2.1.3
  • lwip 增强,支持 PS SGMII 固定链路以及多 MAC 和多 PHY 配置
  • FreeRTOS 更新至 10.5.1
  • WWDT Q&A 模式支持
  • eMMC 5.1 HS400 模式支持

Linux

  • Linux 内核升级至 6.1
  • 提供 Axi 以太网 phylink 支持
  • 提供 Versal 引脚控制器支持
  • 提供 GiGadevice OSPI 和 QSPI 闪存部分支持
  • eMMC 5.1 HS400 模式支持
  • 为 Versal 生产版本提供经典 SoC 启动支持
  • 为 ZU+ 102/104/106 和 VCK190 提供了 QNX BSP(请与您的现场应用工程师联系)
  • 通过 QNX 提供 VCU、DPU 支持:通过 QNX 软件中心提供生产版本
  • Yocto 与 Petalinux
      将 Petalinux 工具升级到最新 yocto 4.1 版 (Langdale)
    • VPK180、VEK280、ZCU111、VCK190、VPK180、ZCU104、SE7、SE9、K24c-SOM、K24i-SOM、KV240 入门套件以及 KD24 入门套件的 BSP 支持与更新
  • 设备树生成器 (DTG)
    • 为 DFX/CSOC 启用了自定义 DTSI 流程
  • AI 引擎驱动器 — Linux
  • 对于 AMD 器件上的认证 Ubuntu
  • Xen Hypervisor
    • Xen 更新至 4.17
  • 最新 VCU 特性
    • 在 control-sw 层使用 '--decode-intraonly' 命令行选项解码内部专用帧
2022.2

嵌入式工具 2022.2 中的新增功能

展开以下部分,进一步了解 2022.2 嵌入式工具的最新特性及增强功能。

Yocto 项目

  • 提供 Versal 典型 SoC fpgamanager bitbake 类支持
  • 提供 Zynqmp DFX fpgamanager bitbake 类支持
  • 支持 ROS2 底层元层(Zynqmp、Versal)
  • 支持 Kria SOM、入门套件 QSPI 以及 SD 卡图像构建
  • 提供最初的 Versal Net 支持

PetaLinux

  • 为 Versal 提供典型 soc 命令行支持
  • 启用选项将引导标增补在现有的引导标上,无需覆盖
  • 在 petalinux-config 中为 plm 和 psm-fw 选项增加了外部及远程构建支持
  • 新增 Ubuntu 18.04.06,20.04.4 和 CENTOS/RHEL 8.6 操作系统支持,删除了 centos/RHEL 7.8 和 8.1 支持
  • VPK180 ES1 BSP、VHK158 EA BSP、VPK120 Prod BSP。

设备树生成器 (DTG)

  • 为 Versal 新增典型 SOC 支持
  • 在 DTG 中提供宽 concat 块支持

通用基础架构

U-Boot

  • Versal 中新增的支持可通过执行从 EL2 到 EL1 的切换,加载和执行 EL1 应用。
  • 在 spi-nor 框架中为每个写入启用命令增加了写入禁用命令。
  • 修复了子系统重启时检测 USB 的问题。
  • 修复了在连接 USB 集线器(与键盘和鼠标等低速设备连接)后时检测 USB 闪存驱动器的问题。

Arm 可信固件 (TF-A)

  • 为 xck24 芯片增加缺失的解码器
  • 执行全新 IPI 命令 PM_LOAD_GET_HANDOFF_PARAMS,获得 TF-A 切换


Versal

Versal™ 启动与安全

  • SSI 技术 PLM — PLM 通信(SLR 间的通信)
  • PLM、工具中的版本管理
  • 安全锁定
  • 将软件加载到 HBM 中,无需任何额外的 DDR 内存
  • 篡改触发器和响应支持
  • 通过身份验证为 SSI 技术设备启动信任的硬件根


Zynq™ UltraScale+™

PMU Firmware (PMUFW)

  • 为启用/禁用 MIO 三态提供 PinCtrl API 支持
  • 在硬件限制产生的自刷新情况下,禁用 CRC/奇偶校验重试特性
  • 修复了与以太网唤醒以及覆盖配置对象加载相关的漏洞

AI 引擎驱动器 — Linux

增加了对 AIE-ML 器件的支持

  • 针对窗口事件跟踪部署的支持
  • 多应用交换性能增强
  • 新的矢量化矩阵乘法示例代码通过 BSP 提供

AIE 引擎驱动器 Baremetal

  • 增加了对 AIE-ML 器件的支持

Software 库

XilSEM

  • 增加了客户端和服务器中的 SSIT 器件支持以及示例
  • 为使用 PLM SW 触发事件管理框架的 A72 linux 用户添加了 XilSEM 错误通知
  • 改进了 GT 仲裁超时处理与通知
  • 为基本位数据分类 (EBD) 提供了示例

OpenAMP LibMetal

  • 为 A72 baremetal 提供 Libmetal 支持

Xen Hypervisor

  • vTPM 支持
  • Xen 域对虚拟 TPM 设备的访问可实现安全启动等功能
  • 易用性:自动生成直通 DTB
  • 使用 ImageBuilder 和 Lopper 自动生成直通设备树,以便将设备分配给 Xen 域
  • VCU 在 AMD 低时延模式下演示 4:4:4:生产版本

VCK190 以太网 TRD (IEEE Std 1588 基于可切换 10/25G MRMAC 的参考设计)

  • 设计升级至 2022.2

VCK190 多媒体 TRD(视频 + ML)

  • 单传感器平台升级至 2022.2
  • 增加了使用 AIE 进行 4K 图像处理的支持
  • 包含 DPU,可执行对象检测

不再使用 TRD

  • VMK180 PCIe TRD
  • VCK190 四传感器平台
  • VCK190 HDMI 平台

注意:所有的目标参考设计都将在 2022.2 发布后的几周内提供。}

Baremetal

  • 增加了为 ARMv8 读取 PMU 计数器的支持(Cortex-A53、Cortex-A72)
  • 增加了对 SMBus 的支持,其可为 PSI2C 提供支持
  • 增加了对镁光 OSPI 闪存设备的 OSPI WP 特性的支持
  • 为 Cortex-A78 和 Cortex-R52 提供了 Baremetal BSP 支持
  • 为 Cortex-A78 和 Cortex-R52 增加了 FreeRTOS 支持

Linux

  • 增加了对 Versal OCM EDAC 的支持
  • 增加了对 GEM 通用 MDIO 总线嗅探处理的支持
  • 为 Versal 新增典型 SoC 启动支持
  • 为 ZU+ 102/104/106 和 VCK190 提供了 QNX BSP(请与您的现场应用工程师联系)
  • 通过 QNX 提供 VCU、DPU 支持:通过 QNX 软件中心提供生产版本
  • 嵌入式软件的年度构建工具和软件协议栈更新:
    • Linux 内核 — 5.15
    • Yocto 项目:3.4
    • GNU 工具链 — GCC 11.2
    • U-boot - 2022.01
    • 设备树编译器 — 1.6.1
    • Xen Hypervisor - 4.1.6
    • Arm Trusted Firmware - 2.6
    • FreeRTOS - 10.4.6
  • AI 引擎驱动程序更新,支持 AIE-ML 器件
  • 为 Kria SOM 硬件特性及 ROS2 层新增设备驱动程序支持
  • 为 22.04 LTS 提供了 Ubuntu 发行支持 (Jammy Jellyfish)
    • Zynq™ UltraScale+™ 和 Kria™ 的 22.04 认证正在进行
  • VCU 与多媒体设计及 TRD 更新
  • 为支持 DPU 和 VCU 的 Zynq UltraScale+(102、104、106)提供 QNX BSP
2022.1

嵌入式工具 2022.1 中的新增功能

展开以下部分,进一步了解 2022.1 嵌入式工具的最新特性及增强功能。

Yocto 项目

  • 在 aarch64 架构上启用 systemd,作为默认的 init 管理器v
  • 禁用自动登录(上游更改)
  • 删除直接根登录(上游更改)
  • 支持 Versal DFX(单插槽)
  • 弃用 BOARD/BOARD_VARIANT,支持机器继承模型

PetaLinux

  • 用户首次登录时,需要为默认用户设置密码
  • 弃用 MicroBlaze 精简架构
  • 通用 VCK190 / VMK180 BSP,能够与新老板卡联用

设备树生成器 (DTG)

  • AIE 时钟信息的自动生成。
  • Versal 中支持 DFX 单插槽

U-Boot

  • 增加 Zynq UltraScale+ pinctrl 驱动程序
  • 增加 Zynq UltraScale+ GPIO 模式引脚驱动程序
  • 增加了对 SLG7XL45106 I2C GPO 扩展器的支持
  • 增加了电源域驱动程序,以加载动态 PMU 配置对象
  • 通过 USB 新增 USB2244 SD
  • 新增 USB5744 USB 集线器复位
  • 支持动态 SD 配置
  • 增加了在 EEPROM 中从多记录 FRU 数据读取 MAC 地址的支持
  • 增加了从 PHY 节点读取 ethernet-phy-id 以及通过 GPIO 复位 PHY 的支持

Arm 可信固件 (TF-A)

  • 禁用 -mbranch-protection 标志,因为这导致 TF-A 的大小随 GCC 11.2 增加了
  • 在 Versal 中添加管理 EEMI 命令的通用接口

提供 PLM Boot SupportPLM Boot 支持

  • XilPLMI_v1.6 — 错误处理支持 XMPU/XPPU EAM errorsv
  • XilPLMI_v1.6 — 启用每个 SSIT 节点的 ssit_sync
  • XilPM_v4_0 — Versal 器件的 SRST 序列
  • XilPM_v4_0 — 移除 XPPU/XMPU 保护的 CDO 命令
  • 这可使用 PDI 中的 CDO 来完成这可使用 PDI 中的 CDO 来完成
  • XilPM_v4_0 — 为 AIE1 添加运行时工作支持

FSBL

  • 修复非零多引导偏移的二级引导问题
  • 新增对 ZCU670 电路板的支持

PMU 固件 (PMUFW)

  • 更新了写入另一个叠加配置对象的权限
  • 在 ENABLE_DYNAMIC_MIO_CONFIG 宏下增加了对动态 SD、GEM 和 USB 配置的 IOCTL 支持
    • 默认情况下禁用
  • 多次调用现有节点的叠加配置时报错
  • 增加用户选项,以便使用 ENABLE_DDR_XMPU 宏手动启用 DDR XMPU 设置
    • 默认情况下禁用

AIE 时钟扩展

  • 在运行时,应用或工具可通过 xbutil 或 XRTAPI 更改 AIE 频率要求
  • 在运行时,应用或工具可通过 xbutil 或 XRTAPI 获取 AI 引擎频率,如下所示。
  • AI 引擎频率可按比例放大或缩小
    • 在平台设计中,最大频率仅限于 Vivado / Vitis 中定义的设置。
  • 使用 xbutil 高级子命令设置或获取 AIE 时钟频率

裸机错误处理

  • 在裸机 AIE 驱动程序中,增加了 对报告 AIE 错误的支持
    • 以前只在 Linux 中支持
  • 裸机错误处理代码可作为第三方 OS/RTOS 移植的参考
  • 更新至 Ubuntu 22.04 LTS (Jammy Jellyfish)
  • 5 月中旬为 Kria SOM 套件发布测试版
  • 6 月中旬为 Kria SOM 套件和 ZCU10x 评估板发布最终版
  • ZCU10x 映像现在包含 ZCU111 (Zynq UltraScale+ RFSoC)

OpenAMP & LibMetal

  • 在 Zynq UltraScale+ 平台上,OCM 内存可用于 APU 和 RPU 之间的 RPMsg
  • OpenAMP 和 LibMetal 同步到 2021.10 上游版本
  • 在 Kria SOM 平台上启用了 OpenAMP

Xen Hypervisor

  • 改进了虚拟机与虚拟机之间的通信机制
  • PV 驱动程序支持 dom0less VM
  • 将可编程逻辑块动态分配给运行虚拟机
  • 在低时延 GOP 应用中动态插入 IDR 帧
  • XilPM_v4_0 — 移除 XPPU/XMPU 保护的 CDO 命令
  • 自定义 AMD YUV 4:4:4 编解码解决方案
  • 更新了 V4L2、PS DisplayPort DRM 和 VCU 内核驱动程序,支持 Linux v5.15
  • AMD gstreamer 重新定位至 v1.18.5
  • 将 VCU 编解码器时钟设置移动至 vcu 模块
  • 使用更新的时钟名称序列和索引更新了 VCU 设备树节点定义
  • PS DisplayPort
      支持 44.1k 和 48k 采样率音频
    • 符合 IEC60958

VMK180

  • PCIe TRD 更新至 2022.1

VCK190

  • 四传感器平台:演示语义分割以及对来自图像传感器的四个视频馈送进行的目标检测
  • 单传感器平台:演示对来自图像传感器的视频馈送进行的人脸检测
  • HDMI 平台:演示使用 AIE 执行 4k 图像处理
  • MRMAC 四客户端 4x 10/25G MRMAC 交换功能
  • MRMAC IEEE 1588 时间戳

ZCU106

  • 原有 VCU TRD 升级至 2022.1 工具
  • 最新设计模块:VCU 处理 YUV 4:4:4 格式
  • 软件协议栈版本的年度更新
  • Linux 内核 5.15、Yocto Project 3.4 Honister
  • 附加设备驱动程序支持 Kria SOM 硬件特性
  • Ubuntu 发行版支持更新至 22.04 LTS (Jammy Jellyfish)
  • VCU 和多媒体设计更新
2021.2

2021.2 嵌入式软件工具环境新增功能

  • 为 PetaLinux 新增 MCS 生成支持
  • 支持从 Image.gz 文件(Zynq UltraScale+ MPSoC 和 Versal ACAP)启动
  • 在整个软件协议栈中执行基于 IOCTL 的动态重新配置
  • 在 ZCU102、ZCU104、ZCU106 以及 Kria KV260 上正式提供对 Ubuntu 20.04 LTS 的支持
    • 查看 AMD Wiki (wiki.xilinx.com),了解更多详情
  • 在 FSBL (Zynq UltraScale+) 和 U-Boot 中支持最新闪存设备
  • 改进了 Linux 多媒体基础架构支持
  • 在 Xen 的 ImageBuilder 中提供 SecureBoot(认证)支持
  • Xen 中增强了客户机之间的通信
  • 提供高达 4Kp30 的 VCU 编码/解码支持
2021.1

2021.1 嵌入式软件工具环境新增功能

展开以下部分,进一步了解 2021.1 嵌入式工具的最新特性及增强功能。

Yocto 组件

PetaLinux

  • ZynqMP 和 Versal PetaLinux BSP 引导至基于 tinyram 的 rootfs,可转向基于 ext 的完整 rootfs。默认的 rootfs 配置主要针对 ext4 上的完整 rootfs。
    • ZCU102、ZCU104 和 ZCU106 现在都有支持 Vitis 的设计和 XSA。
    • 全新 ZCU670 BSP 现已推出。
    • PetaLinux 工具为 MicroBlaze 提供有发行版启动支持。
    • 默认情况下,PetaLinux 在配置过程中使用 bitbake 而不是 devtool。

AI 引擎调试

  • 用于 AIE 状态转储的 SYSFS 节点。

FSBL、PLM 和 BootGen(启动)

  • PLM 支持从运行时应用接收 DDR 映像存储
  • 一个子系统管理其它子系统生命周期的许可与查看
  • 在不同的软件层新增事件管理通知支持
  • 添加有关发送 IPI 消息(来往于 PLM)的 CRC 值
  • XilSecure 客户端支持裸机应用
  • 向 PLM 发出的子系统重启成功提示
  • PLM 定期检查,确保 PSM 处于工作状态
  • 在 Vitis 中添加了 Versal 的启动映像创建向导

PLM (Runtime)

  • (PLM) NOC 不使用时,提供 NOC 运行时时钟门控
  • (PLM) 通过 FuSa 的 PSM 保持连接
  • (PLM) 获得系统错误信息的订阅/通知 — 增强了通过内核提供支持的功能

4U-Boot

  • 更新至 v2021.01
  • 在 Micron SPI 闪存设备的 U-Boot 中提供模块保护命令支持。
  • zynqmp mmio_read 和 zynqmp mmio_write 命令可用于从 U-Boot 访问安全寄存器。

4Arm 可信任固件

  • 在 ATF 增加了事件管理接口支持
  • Arm 可信固件 v2.4

Linux

  • 更新到 Linux 内核 - 5.10.x
  • 提供 VFIO 框架支持,允许用户模式应用将虚拟内存映射到 IOVA 地址中
  • 提供 DMABUF 和 DMA Fence 支持,允许用户模式应用直接管理内核空间内存,以便在应用和驱动程序之间共享缓冲区
  • 提供变基补丁

FreeRTOS

  • 将 FreeRTOS 版本升级到 10.4.3

Linux 器件驱动

  • 更新了 AXI 以太网驱动程序,支持所有 Linux ethtool 特性
  • 为 Versal 新增 Linux EDAC 驱动程序支持

裸机驱动

  • AXI 定时器的裸机驱动程序 (Versal ACAP)
  • 改变了 DWC3 驱动程序中的 ULPI 重置逻辑

  • 更新了开源库:binutils (2.35)、gcc (10.2)、gdb (9.2)、glibc (2.32)、newlib (3.3)、Device Tree Compiler - v1.6.0

Xen Hypervisor

软件协议栈更新

  • Arm: ATF v.2.4
  • Yocto Project: 3.2 Gatesgarth
  • Denx: U-boot v2021.01
  • Xen: v4.14
  • Linux: v5.10.x

Zynq™ UltraScale+™ 多媒体与视频编解码器单元

通用 VCU 更新

  • 在 VCU 中提供 HLG (Hybrid Log Gamma) 支持
  • 在控制软件下演示低时延 Phase2 模式的实时视频流水线
  • NTSC 分辨率 (486i) 提高
  • Pyramidal GOP 的动态 IDR 帧插入
  • IDR slice 类型增强
  • 在 Gstreamer 位置提供 Monochrome (GRAY8/GRAY10) 支持
  • VCU PL DDR4 控制器 IP 增强:为用户提供添加 DDR 自定义部件的机制

VCU TRD 设计 (ZCU106)

  • HLG 支持全面的流水线
  • SDI Rx (HLG) à 编码 à 解码 à SDI Tx (HLG)

VCU 关注区 (ROI) TRD (ZCU106)

  • Avnet Quadsensor 摄像头输入
  • 基于 Vitis AI v1.4

4 款电源优势工具:(ZCU111、ZCU208、ZCU216、(ACAP):VCK190、VMK180)

  • 将对电源优势工具的支持扩展至新的工具版本、生产芯片以及新产品
  • ACAP Python 库将电源测量整合到 TRD 及演示中

混合安全:禁用较低安全性电源管理主设备

  • 可禁用较低安全性 APU 电源管理,以便提供混合安全支持
  • 可禁用较低安全性 APU 复位
  • 通过标志选择整合了工具支持

Versal 电源域:FPD、系统电源域

  • 即将发布的、支持深度睡眠的工具及架构构建块。

开发板评估与管理 (BEAM) 工具:VCK190、VMK180

  • 创造性体验。开发板配置与测试。
  • 轻松访问开发/演示控制面板:Versal 电源工具、ACAP 控制台、电源管理控制面板 (Beta)

电源优势工具 (SC):VCK190、VMK180

  • 与 Versal 无关,因此可以使用 TRD/演示/客户设计混合匹配
  • 将 ACAP 设置与 BEAM 工具、视频演示及剪切粘贴 Python 定制 Wiki 进行了整合

ACAP 控制台(为公共使用进行了安全更新)

  • 可轻松查看并编辑 Versal 寄存器。绘图与文件特性。
  • 与注册文件相对应。
  • 系统控制器 IP 控制面板的构建块。

电源管理控制面板

  • Beta 版可一目了然地显示域和孤岛的电源状态,GUI 允许未来扩展至时钟与性能。
  • 与 Versal 无关,因此可以混合匹配,查看任何 Versal 应用的 PM 状态

HSDP/SmartLynq+ EDT 和 Video

调试 PCIe

  • 抢先体验通过 PCIe 接口进行 PS 高速调试。
  • 抢先体验通过 PCIe 接口进行 PL (Chipscope) 高速调试
  • 抢先体验通过 PCIe 进行 PS + PL 调试。

软 Aurora HSDP 实例

VCK190 / VMK180 (Versal™)

  • VMK180 TRD
    • VMK180 多媒体 TRD(六月底)
    • VMK180 PCIE TRD(六月底)
  • VCK190
    • VCK190 以太网 TRD(七月底)
    • 支持 DPU 的 VCK190 单路传感器 TRD(七月底)
    • 支持 DPU 的 VCK190 四路传感器 TRD(七月底)
    • 支持 AI Tiler 和 Stitch 的 CK190 HDMI TRD(七月底)

BEAM 工具

  • 针对 VCK190 和 VMK180 推出全新测试版 BEAM 工具(系统控制器映像),其中包括
    • 电源优势工具
    • 开发板测试
    • 开发板配置
  • 9 月底投入量产

Zynq UltraScale+ RFSoC 进行设计

  • 针对 RFSoC Gen1、Gen2 和 Gen3 更新至 RF DC 评估工具
  • 针对 RFSoC Gen1、Gen2 和 Gen3 更新至 PetaLinux BSP
  • 为 RFSoC Gen 3 提供生产支持

  

2020.2

嵌入式软件 2020.2 的新增内容

嵌入式软件:

  • PetaLinux 在 AMD 统一安装程序(仅限 Linux)中提供
  • 融合 UG1157 和 UG1144 的 PetaLinux 文档
  • 在 petalinux.xilinx.cn 上可以找到每个架构的二进制 Linux 发行版
  • 器件树生成器支持 Linux 内核 v5.0+
  • 器件树生成器支持复杂的多媒体流水线
  • 在 KC705、AC701 和 KCU105 PetaLinux BSP 中提供 Vivado CED 支持
  • KCU105、ZCU106、VCU118 PetaLinux BSP 中的 Micron DDR 寿命终止缓解
  • 量产 Zynq UltraScale+ RFSoC Gen3 PetaLinux BSP
2019.1

嵌入式软件 2019.1 的新增内容

嵌入式 Linux 工具

软件堆栈更新 – 2019

所有资源都在 AMD GitHub 页面上提供:https://github.com/Xilinx

引导加载程序与固件更新

  • FSBL 更新
    • Vivado 在 AMD 评估板上支持 DIMM SPD 数据
    • 使用 PSU_DYNAMIC_DDR_CONFIG_EN Tcl 参数实现
  • PMUFW 更新
    • 更新为 1.6 版
    • PMUFW 安全评估正在进行中,计划于 2019 年 7 月完成

U-Boot 和 ARM Trusted Firmware

  • U-Boot 更新
    • 支持 USB 3.0 主机
    • 支持多主机 I2C
    • 基于 XilSecure 的 SHA 和 RSA 操作
    • 闪存设备更新
闪存器件 密度 (Mb) Voltage
IS25LP128F 128 3.3
IS25WP128F 128 1.8
IS25LP256D 256 3.3
IS25WP256D 256 1.8
IS25LP512M 512 3.3
IS25WP512M 512 1.8
  • ATF 更新
    • 针对 IPI 消息提供的校验支持
    • 增强了对时钟基础架构的 API 支持
    • 器件更广泛的运行时检测

多媒体框架更新

73}VCU 编码器 — 支持跳帧
特性 控制
软件
Gstreamer 支持
32 个同步数据流 - 480p(编码和解码)
VCU 解码器 — 支持动态分辨率变化
VCU 编码器 — 支持动态分辨率变化
VCU 编码器 — 时间层视觉质量提升(仅 Pyramidal GOP)
支持 VCU PL DDR 控制器(4KP60、4-2-2、10 位、同时编解码)— 公开发布
支持 DCI 4K (4096x2160@60fps)(- 2、-3 速度级)
支架 NAL 单元插入
支持多流音频 + 视频编码/解码
支持上限 VBR 速率控制

RTOS 和库

  • FreeRTOS 更新
    • 更新至 v10.1.0
  • LwIP 更新
    • 更新至 v2.1.1

OpenAMP & Xen 更新

  • OpenAMP
    • RPU 主控器支持裸机应用
  • Xen Hypervisor
    • 支持官方 Dom0-less
    • 客户控制的每个器件电源管理(基于 EEMI)

MicroBlaze 软核处理器

  • 公开发行 64 位 MicroBlaze 支持
    • 支持整个 AMD 生态系统
    • 裸机及 FreeRTOS BSP

Zynq UltraScale+ RFSoC 更新

  • 工具支持
    • 针对 Zynq UltraScale+ RFSoC Gen2 和 Gen3 提供全面的 Vivado 和 XSDK 支持
    • 支持 RF 数据转换器评估工具
    • 支持 RF 分析器
    • 支持电源优势工具
  • 支持运行时软件
    • API 支持高频振动切换
    • SDFEC Linux 内核驱动升级已开始