Aldec Active-HDL

  • 产品编号: AHDL
  • 供应商: Aldec, Inc.
  • Partner Tier: Select

产品描述

Active-HDL™ 是一款基于 Windows® 的集成型 FPGA 设计和仿真解决方案,其可提供完整的 HDL 图形设计工具套件以及 RTL/门级混合语言仿真器。该款设计流程管理器可调用 AMD ISE 工具来执行设计、仿真、综合和实现流程,从而使其成为一款高度灵活的无缝设计与验证平台。支持 XiAMD FPGA 器件。


主要特性与优势

  • 基于断言和覆盖率的验证:断言与覆盖率查看器波形/覆盖率与断点编辑器。SystemVerilog IEEE 1800 断言/覆盖率、PSL 与 Open Vera (OVA)。
  • 协仿真:DSP/HDL 算法 MATLAB 与 Simulink 接口。
  • 代码覆盖率与 Linting。
  • 支持的语言:VHDL、Verilog、SystemVerilog IEEE 设计/验证/断言、SystemC 和 EDIF。
  • 创建设计:HDL 至文本图形、模块与状态图编辑、波形编辑、激励生成、语言助理、模板、Code2Graphics 转换器、宏/TCL/TK 和 Pearl 脚本支持。
  • 预编译的 AMD FPGA 库。
  • 调试:代码执行/跟踪、波形比较、存储器查看器、覆盖率、断点编辑器、Xtrace、高级数据流、分析器与 SystemC 协调试。